diff --git a/src/hdl/ip_gen/bram_pulse_definition_sim_netlist.vhdl b/src/hdl/ip_gen/bram_pulse_definition_sim_netlist.vhdl new file mode 100644 index 0000000..c345f7f --- /dev/null +++ b/src/hdl/ip_gen/bram_pulse_definition_sim_netlist.vhdl @@ -0,0 +1,1127 @@ +-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2022.1 (win64) Build 3526262 Mon Apr 18 15:48:16 MDT 2022 +-- Date : Wed Dec 20 13:51:32 2023 +-- Host : SURFACE-EY20NA6 running 64-bit major release (build 9200) +-- Command : write_vhdl -force -mode funcsim +-- c:/Users/yuhc2/Documents/GitHub/NANOQ_Laser_EYHC/prj/zcu_pulse_channel.gen/sources_1/ip/bram_pulse_definition/bram_pulse_definition_sim_netlist.vhdl +-- Design : bram_pulse_definition +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xczu9eg-ffvb1156-2-e +-- -------------------------------------------------------------------------------- +`protect begin_protected +`protect version = 1 +`protect encrypt_agent = "XILINX" +`protect encrypt_agent_info = "Xilinx Encryption Tool 2022.1" +`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=128) +`protect key_block +RgPKnWr9n0dGgttm3akiFhAlfB96usOQYxnEmPhGyTGg1AbizYAjGPWLXBWl50n/d0IA71ci4aJB +wt6mtfyNADm3ZReK7D3mKu037BOgxryoEwwf1kiC6q/PllxsdAgEMfQrfHJ3E2AzSpdYjoxVYito +y0JW6CUDcWvWa4WV0EA= + +`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +M0l6KpOGH3jL8eRt3NCD7e2USYnkg5H9GAnE1PKmnjiouFN3Y8kjWA2PZDAQLm9UW+TsC1HeVlzO +WjNCHkjR/6ubCsIcWfpPZWdIuAenlsyq8Y9l6b8vMj8JSbDEOiFF/GHSbKsn22MJdDJKEhHFK6GV +s8gR2vywRFwG69gIRE4qGhVB+WIg8GJrDpDMYH6lCjMkTrjXuKDUcNlJN3NPLuhJ7tsditwf1pr5 +moJRmGpJnip/rGm0g4o4A6ev4CtePjoao8C1wFtzHkERX9oenhh7cGjDMejU5IrLv8NxFnLj1FpB +9MuF1beTU20NI5oAn6zLiLiOtXjf0ghU3AN4DA== + +`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=128) +`protect key_block +hAsrUfp6Qgjm8yBjNYTEtQmVQmMxzL8TE/3oiQSxSI3+yEkXAbQCXkT9mo+LCdv+fGECOB0istHd +eLtbsiYbxjxNxYkXiUrRE5O+aSxynIray+uF9DJigTEUZu8JJXUbzxK4DDUu1Lm9tpGps4+Prz1m +0gkj13RT/Y/418s2VTw= + +`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +BP/54Wm/GJmb1jy0bxWJJX4aiKyiWPVh4X3VL30BQrmX4PlEsNKzBJH3Qu8IIYERfnFP0ifAgboa +vypMQ5Ed0BrMePGkWIgT6I8hxJCMFpHdkSK7m1giSKyZzFfTOrVqoNFXE+qdzLfY1J5hBWCvouYo +jllavK4N3gF9FLScH2AUWYVMcVth2QPaTAU2NLnAUNH8kgtBjBfc8/KbPPTznD1QNVqvFstzcbTA +hGQ1ETVPvINQ0KqxxAG5PRhtQD4+pC+hr/Tvk+RSvGyBOfy9zE86OXkJiYs9dSFhNiMFmCPL9DBO +se4OxNNC0/7aBtb1mkSEA9YFDYEb9jS7Jasy2A== + +`protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +SnzT9DVH3xlEN8nrr2YrlvTO5qj7L22d7WaBcuKyTaiHoIwwFHrC4HQbfs0TAwkdWcOgmJoATPSF +F6qm0KiddbrlERF3MfKUldeGBJtqLdX+zGw7+3JD7S+HB9dIMOFOHy+IiCZp1/Pz8epKpi238cel +rcVoJQKz406wmXDvOo8KsT+XhRLs9BVCrBErPGGXKYDk6NXAp0duOgQE9DbslzMU83M/kUC7uERV +tQW02240peKQFp2elEZC7Tetvgp0TaFTtJiKN45REi8GQUCKGa85JjNIk1qb/+k95TIIP1xrHirc +6iX7qbwnPetv8TVu2NjkZ0WDEK5RXdOXcxBwHA== + +`protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_07", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +erkR82V0jX8ytva+9MzEs0c75Z7j7TsgxWRLNAUfbbU28i+U9YzuZlSfYU75M0f9jx1gvxtBrfKv +cNHVdkR+i5zfHDZsDwfMEEBhs8wzDCKqe+eex6BBEvlIOesCPXrr2RozQgaQ1PBh/os2Arfu+873 +BjsVxFJkbhpzIqlddOo/XZV9Yi+eih7A7pXXEBR6IL7Poo4Ka49MiVQU0xJrDTm+ddOuMPDRRD7g +dsxS/uzdcBcO2myV6g/7YH/C2Ce9s6+UywJN/0JeXSqwA7bsBqqnfFicVAT0lckLopMLiuzK7dsN +EwhFeqoetciFrDIj9+o0xDMWBZhgNP1u68vURA== + +`protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +R+BI65BKLT0I9hEtsxGnDyM5XY9gzULeTPOSDXvd3KWOzZJAx6C0xlbyZcFZhAEG/QIK2yd0wAi6 +IUWxyF/sx3HsqKjhVi5KxnpuXDBOZVoj811O7JukedFVmDW7OHGtBkuiJ5X5irw7mfsEKRQmF/1i +V6lj9HYHZEjxtDeZjACsLY4y1QxWalSKT4HIMOHznBLL8dLbGMlS+ZmFuFn0gcwZavVl7gTkTtkf +W0gn01A9ru7NKsf+iLX0kj4dgItPu9N2g02M1vWQ9UUQEVvfV7lUc7GY1suibrD8aEkhH9S7lZ7n +bFsT4qxyvzg8ML6v4g4v7N6VuyhEtgFgNd725Q== + +`protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=384) +`protect key_block +jNUVKiwH68vHsU54idgvKwaVJcoxTUuxfgrQpbpmM/IpesWA3wHsGzYClwAxkKzw3KRnFyQqTWcZ +yj1EQ2CMBxlJ0kyNbZW8OK8pXzeigToZ0U6Aq3Gy+j7wBbhe83wE1Ygn82sK8dHTEulvaRLn/c5r +ispy1s7jMKIvYNzoUuZrgyBQyfaYmdqUia8XlQjFd+VwzhTXKwzvmaqHWyaHjfBKeCooO7+oUxMG +OJg83W54EVe9ronFQ8Wr9EOL8ia7qelCAgyQe/bC0HHCoMAm8apI7sX23iMR/wMiPP5V2bQzycy+ +rBX/+SWkqSeIE1FLm+muFPvrE7iLwJaW8d1fzdFFjAZ5aIXArbWNfwbK8S0TczXc9lEzmpb69rwA +UJIrs4alo81qGQ32UFhjuMQjX75O9Od1HWHDj5PFaT/Ja5Ly+bK8Cc3gfO6dCE81m7d+B2JBZ/Hl +tBA19QuOAYwT2EIPOdpaVtCULb33cWODWu3qQFhZMmDzKTb3kwpcr0LL + +`protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +MnzcA2swaxH1LRacKDou0ZmiiMRETbWIdHgeBgyQz7ILronsXLoB/C20WuFNGEVSiL2/51EZ6MXZ +vMHI8fFcMQCJcuTBDBibUMKv6bXI9s8fTbtrBZppbF/R1icG5JYhqmX4aRnv4W/dxJRjI2L35nLN +Y48E9OfgfkD1sr+IRwx8WEKFmUhuk8dLe0VOK7ywe3XEcneYvrz+HhPj16bGmNfMwNnDgZ3gKKZD +hRnys+jzvAX3HyISrErWXhMKrhWMxXeTNFJCqNQ0LWAVHQYwyKnF5xVpyXSuGNSIrva+QXqOrZBG +3VNLirNVtMRiKLfwZeMaqvswkqBDAa53utlAAA== + +`protect key_keyowner="Synplicity", key_keyname="SYNP15_1", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +d0xXkKtsKM8GvXhDZr16p1+oE3uBtD04BJ76eGGIEj/CFECfHVy9qsJ43oSKjas0+AJr4GFFnVb6 +X7gJV6MmX/OboC9ier5joUCGz0mxVzkRZK9a+LPEDcg0K6+cLE36kr+FfxW9Uk2816EHBCMCf5mK +A4eAhSmAb5Nq74F/q0quiG416npbny7faiQ+xmPDfYYiM3UuMKaD4iE8ODlz1w5xThPllWESf3LZ +NTkw6fozyTqZ47vvE21O3dgIGAY1v+C6BwlCK24VwPJa1xs9csY+qTk31j5jjAc1ExlB6QF7t9UH +lk70qdNPWxT87OH7kFT8UvPO1D6BTC3/WkDZfA== + +`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +LYhdzKTvo93uJrAaiP5OfCDuOnu2BSvPnxlv6I7h2n1+xHtj34LNNKzWEgOg9dUV9cYDaHYUjjEt +DKdWcz6mZ61d5qyxAhpv67fc90v7JVgtOAcT94/Yb+AuLxXFcGA6Gic7uoJtUgz6JmTnb22Dxdjc +KuIewDj4IOTfP8XGXKTaF+cNp0CFrQgTAcVSQFyLFxr0I/9h3S+GZLecA7ntEeHEOfCJzPvy0ddi +7MCdQWECLb+fXC0IAn8V95TumcpINiRAX1BHi9IGJ4QoMrb3jOCrPkFhDMTJj2aiImUWdi/l/0QE +d7wcXlgIEYVeoKYUOJ4mqy+zZPUbLNeOPADUDQ== + +`protect data_method = "AES128-CBC" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41488) +`protect data_block +L1YmsQC56gCx+4G4LrQ8nixD/Fta8f6H5ruTCGSGkr5ezb+gkQOgXwt07ZM/aJ5y8g7RXJJ4+eeT +mBPMJNHVq76/PpNaHyb0773t44DrLEFYDZiF3cBNN4hQ0Nr4ywD0S6fpoltGNHC9JrMFTWIyM0Sb +gg/unvMnLyqCYt2JyKiR0VzFCFThDMh5UMpL1yX04xa0MzIn2HEmSvD6dWmY+kSBIc16voj0+zws +jVKjTL4JOBgVTDvL5dhMbJUzIjF09Ovefs0NniP2yW34SqpDVtUtf1oaA8a+VG2+E6VvDXziuknF +VEvgOo5TJDW8/aFSYnpJpeoknqiqp7PioZF4fy9octbVrKBhZsmN/0lqpezMDSPF8VEDnm5iilRg +4klZLDmeJL1GuJAdQEVpoLLXcY8+ujC98hXfwd7806N1aUoCoYQGAscEg9l41u9UVTM1b/zjNC3N +0N77CRlpfCm3z01frNI789MkJ3uCk8XQHgpdyhWYGUfT3PymkHPykmzVvKPA3yCEeO8CiWOmF8Yv +lwo8suQ0l6RXf8BzAEvGHlW6dPHXmXJKGtKYBpza3QSewx67nVRGyWHsoh7amao9mRdxQlIXgDfg +ekRkJH5jXkFHeEgZ64U7X42czrMK9vuOnN2Iw4eqiEVY+x6VFyBWRaOgVBAWZF0IF7FkZcKz1ryo +4p+RDiYIrHknrOo4XNmm2u9I0TzTUZ1N3pFNOQq+YM/FBOyNvzf6pwUg3gptK9/88vIOoMDniHOm +bwdvmPMVYrqjP2jtd9T1RbU1zRFpuKvGdaK56Y7oQNneDyqMzUw3uAmp4MSXxDMjJ6Tkxrbp/6dy +HflfYS85hPmZR5a4Z4Qh2pD7wNDgEUjk6EkIygmZ89rA6Y8jZwcUtITI3y9vSZfsP8e/omHle864 +yIADzLrrEG4Dpv1gar7/gMr5HE4LJnlXdd7WKI03NA762KrCoYz9iHIGVmpRja8nOgM5tCN9+i+u +vDQDkz5KmBv8siSLIKEpUchDOzMiQ91B0jRTYUrTHTCv+KyZdtXIV7ZnTT5keC0JWUwkRHX1DGmE +5rrZN03Nf0NiG2cmhwWaPSGSptOKUOx2Fs/9sXBAYLdD1K11A7rwRWCQ+7mOdOhKc476LA+T/wzv +6g3HA/oeEIvCL3BXcCh3CEijIA+Xr+kWnTSrEFoLQa279gO1KK94kIWqnz3SiKTS66uIPJno/hKD +3ExyWv6ix9j1mPBN32/JFQaXwFDTBb90BFQeA7qDVA+PeUBXriKRkYL+ulSKwBuG3c/LwZ+wnII+ +hVTD1cmKrznGHHaDL3AFuppuIHkkA1r566QVVizE/Ul9APacYbvgQUcQ5f92U307Ub5/1t1J4qg+ +KOQVPqo/p5SicKIejPnzREYul0q3GGDF6w5d4ncAKXoCkAJztxJuVCXwM7T9E1MctGZzDLG6Tok5 +q9QFwoNzkBL05tm0koNHGzNoqaDNwn+UHrHxSENcI7ZE+y4zHps3aTS/g9Pmd5OxHpIEy3LaUAVG +2gORfcSKkX+Vo0Mo62W6fDzuVAaqkvCZQ7eT484Xnk/vo7tl5VL8RafYIzfDidBY6mNZzMUnvjku +dWoyFXAGgsGmQaHeHZFT5RRnqq+sKUu++V37yrrMmLhoMiu5+aZgX8RiPYxGL5Vvjzwsp2hucbbu +VjEYxXEtNul3BqCDL5lEWDqckahIXlSOPsOdqGVvkyxD030RlmqmV04uA0M1Vsgh/nSw83r2UFqV +BQ0Jho6Ag0ABgWdILDV5QZ4Qy59O+QcLEu4APaSJVrW1XmrJb61V8MBXeJfPU69W/RmDjMkMnZKW +UXX+GzjaWX5P1fjWaXSOVT5pZ9sRjY9O6lANlGt0yv77x2Ff7t13N/iPuIdI6jwWAVj5EZDasvUp +37WWnrGgcTQuaX7NrKhC00s0fQnjUXtoOoK5ixbHgDcf3QvDrfhybuboHXnkFYKvOhTIcAfnXfZj +CdwTKA+OiHqty9n2c8y50lq3p6b4d0wG6opmzqFSw1rNj+V2dhbtKb80oh46yJQjdtZrm89taXN6 +BQgor6PdK/ZpHBsNDgGDPwhezVOH1vjba6wdfGtM6lxetrMhfcyiLoc1Lmj9fYuC24BDy/hq4xu/ +HvoA4A0FkRU1hW60vlKK29do8ist5ERQgjR6QweD6sFFWt7Q8iOHxPlm7CKkfxw9YzdEcRusdDtO +cevBXZcgVtbrTzPUF4oByq7nBOD7Z15f0K7MpltwovgJGDQ3mCYTxpHU25y0u6UQDTH6EkWYhDi1 +jUwkUeljoFhJwlumQfMAZlqeRv7/Uozg2LjAL+O/U28v0zpaNdsikk43fQWSOgSpFtfgmmpfkHBn +8V2YXIR5LmciMNhzXWTLESJmpyuuYnVJgf+2jPoKCfrQKlaG94NUJJiHRE5+cg6CNmzEfBNaS9Ep +2EZ0ClLmt03oJrbml+elomJD/6+r48UxzAeI8U09YyJPk0CmJPPvpb2YnUX8CslCcBQ/yZKnvVD7 +7qsz7aKVh9h8Yx7o5g4eqTVuEZWzBbXo/cWVowLg49DtJgk64+80Hq2ZGWVq2EdtxcNCb2Fd69bn +L6l/WsAPeOQPkw7F386FrnV9Sq888DLKLO7eQKJuHJmCoeLE6tn8tS7FLz6eBASOI4WOvQG2KZQD +zXYW0RgwnkuYcH/XkNOma8n3HNwQVJn7iMZWgEv2yCIRlUyrJE7SQ4VA1+NIYXOVb43dk1TpFu9L +gb1QIcSdyUZE+IEv6wCAjkH/o/wgWIRCIAHwlVcXGWXtQHDVz5vgdxnobuP29YJ806oTc7+NVAFh +o8LgrlAfc9mObL5bwHn5Xskr/gqgYOeST+cRs9U/JVIwUc1FJxET/6u/n8yv837bX9PEB/KX5IeN +S+psXTqrtmVDGxF0mZ0VIPj4yaVFD9t3rBK56E2o41l7f+cgrJpKYfFnbQvIfkfe76HXsmSIfYeN +KvFMU1qOUDuLhxWUlFAJy6B1Wfv8EpaC98LvJBn+QItSex4pVCHp0gdqP116sQY3pEpni+LXznEG +WF5E55sCcNoQJFrtMxDlHwPr4l/ScZbp7A6A6yLSpaoDf+uo6wuypAjnSMXpNK4dEifWZgMuucF6 +C1ZLj6GZFNvFTyaB4H0eAaOiwNN+PO+z0SDZOF29yklkgXKIsQUnsfISPLO5sd1+Aj7JJjP8+4w6 +Idmrfo5uMqE26eTpa3Khv0pdxWUVZcO+LckzZZpS7reMBSQ5vZBbIv9WSW+dtuwAKLn6A8j4UkEd +W9KKcKvjS9l9/M1qKRh5+7PxQMqnstr++aqaNVfywcFp+2mBugFsTg7ESFv6UvvWeOEjOws11owT +nz2O2TBwWLEXkIKDfeZQ8TyAXLl/DG5TlkV//5UJr31MMeP+k6Ddmf0JQ295km16wqZL4nChIPvl +X5fRFIJO4HvyGujWjBUoTjNf0LnaBcbwgcNnTYEjkG179fR2Ktbv3efzD21Rxr/HoTrB5Wh442ko +W0+xw5HR9MSNWecBBzIdMWCVurb1CXBMkewMOJCS44Enz+upRkMVaEeNwinkWHhsXBIIWafk4PoA +uhW9aQ1NB0WHapi6o3hwVsCjl5Eap8QRCYrmrHE2B2rs51oGtwMPNsH8pjhov3LtmPyX++MfjSrF +Mah6JJ0yCPZ323/jLG82AXbKElEPXcj/Nhu5ZzUkxqGv8MVVgSBxjMmsPg/E1j2OI9VEOvxZ7TSH +yW3xVjCATUyth5s184OHI70dMFClKGMg/eAtmLV+d2qeqhymUKEr2mmbYVk82qQwRNV8xqKQD2WY +fmiKQwmCOeZ21uiMDozijbHelIq9N42FHLussK5ekT5ahFaOfODfe7LhbQKPFC48LTwecS0QQ28Q +uHjoz37MFqOJyNQZ9ZQV3gICl7uJbMrwgLdAt8DA43vLoQQo81FUFN6d+DNM5ZRkROOI6XwS9WIV +pLqpap5zNSlW4+PwJ6i5AsWktPqIr3+6UVjDHjuNJlS4i0z9ls6VgwXs/4NJPBVQL93yKNpru/pR +WusjeyVv9kgqqhThidNDpKtKsi9ojdbFXeJ8OaErEa0nUVvLqJj6HUa4YiSwaEYmw2G3//jZ0OTb +Z+Jb0WFhuZx665jXB/Tg6HkoHCleL9G4z0W11WKl1IpZ4il59vZ5agM0yQl3arTmYqDRAbi4YJPc +gBLRVmmdlm7uxRNq52WdWdS7Q+i6ai3laatzisU9682B0dY0ioiojtPfc2ZQy7lr4YoTV/Y/mao0 +apwDGqqk8xobndo+8rCtnlVaR+PvbG7PDyPelOqhReWHEhm4rKhvJ2mfuKqcNT5ZdAw7KPn1cDhE +Z1lO8IFxps4A6BSb1Z0ki++XRKUj5PFC2hZKZX0uWRi1XKq9mKwQz/lq6JCZNaI7Tf1ViLCw0mnF +sORsVSNderBkzjGIvnU/8mR83cmpmofqUz4ZQkKNoS2w5lfigGumwViqTIfqnGV6mh7nG0f2C8xD +TwwSmv3Vqmjas3d4MqW3lPt6QFpW3xYCWkCA33p20x7g1tM/I49HOpTJWFs5ZuFACfYXiaW0s7Xa +gwQhCZ8Z9oYWq5CwhYEYNzzuZEQ4yO7q1ofDUu089QjioRRM8+hBOjiFfs5oLu4YW9K1XAlrkX0Q +xWRyhwK/Cmr5LkYQaaOgMV7Fdj5Kz/eLRGpf9JO+MTVnBCOL/4FpyovEhjm9om7wRyP8hIOpY6ag +Ug5a3hP9JSGliSNZF0rA3mxAlWSAttd+NcRbirhjYrBx/uwRPFVjQxY3EnhprwrToXZcrxdp63Vq +t4Qy51uddnG5rvabiRo5VXPSyJH6pbrWJc3bKSelKiFhFkDwd9NiUF+qvZa6NPseF7NSS47OWaxg +uaGd6imYR6Wb3eoqGPfzwV6SEAxbVA1gzH/pSVfIG2bmqC9TT4le9ub5f+rqHpqVsJ2q3nTKmJXS +vDHBWA5/M1bjxwd6JhaZJfscM+l0pKV8g193cZcW297fIYnRIiL/H8OlTaBw+sN8ioQWKly044R+ +91ftzsDJGy6F86g4wip0JIoM2PoQvqo5930UO84SPph6l1TRjnP1yMSVJtq6gqRALmypUdJ435/4 +0JgKxCYp+Ww8Q+AplMRjLCLYOtuioGMkbQqRjGLrxGHNOm6FHtrO//AiElALYN3e3uvCc6rXgTA7 +PLJqqNxvXLWTwYaxSGDtcuwok91I8/xhwysDyyushm8PBgNAGqueJzWD551d2Z2dBCm9ZqeMSbE9 +Ix1JwDnhhWs/63ErQdzpEgLXz7uJdW3NvhPo8SUKeGd5rQnJG3asEEEEpFJe4ztbkRrZKme0IFTM +JFNAMnacX2+XOtzSp7jNt4mIGdAfEyZfaOxCemmjTYZzqg5yHDuphPkvfK/EUEm78KpFTljzaoPZ +aSEL2dsKcFZA7Wp4z7NJSMgWmHk2BgSkEHYNKUQfKJuFYmTxBxYeELBw0xDxel722FABhcTplvj2 +ZhykqUUssYpuzG3r/j4Rom1FVybQ/UqsVEFqCDuyJEFM9LmnA9R0OtutZGveBDK/SR3Sl8ofOtB0 +ZIdpvnKg8D2BZot2YHyoKnYgC71agns05ZE1nLHAS8IWsLQSle8IUpk6fDlILWuqpow5pd3W0C87 +20DOuwt3ZZ7P57RucNOeggf2Spqfs8J6IbO3DEAREl4S/gL+2lhoBJYCZ48f4DwJ0e3J+qPJYvRm +qQc1PwCqGKSDFR/LFG+Ae5nbM8OAbBieGwpG9a2K0ZyL9cxAmNDFw6FKTddOaTFDXtKmk6nQ5w3J +ddGjXQa8t9xG3WtJe+CdzzjVX0CmOnE7kXmZrUZpznavPca1sbtg/Q57dXg7Xbxm39PD9sK0VEiT +HBJKbxY1/sEmB5J0ODqlvZTlaQG/wPEAGvTw6W8ZraH1vF4OAc7kFvNpDv87OLjso1DzzAsF4Zzh +8nsdb7jpv2T3VTDKjzM8F25vbsXgO5c3ET0htudZxkeWNlqgD3R99rWgRZY3hq9Ad6z5qizE8ArX +twGSpwfGuvfflvmqgNUuSN6QCb1qLh62n2LpO5BAUGiovHXSp3r3YiCMErTJpncbpOfpsGPdOxry +45IxDywsLbSdhsku0IpM6BcUZZ5caOdaewNbFI9o1QstSS1u8JnPTGCCU0mGx8kSQXKNiliGfKR4 +Tahc35vimFFJm0rAy75YMhfEv+sEptcOlIxNoL3cihEmJEbh4dGWR0hSiIcx01yLYi5d9jUhsVai +BnPXUtbk7ZmXNP7/ifJE+e2GP/TIkuqKzAMcHmmORs0G0Ot6aAMR6a2RXcGaBC/JFxwV5XAbNtBu +jy16JkDLmldLu8dbyA1JPb7d0aSEhPQ678LILIK7YBuK0JlZJWnPKUqmud/wiSm6d8MPAWGR7yyj +vNqWKhQzoQG17miI7QG7M0XCE6YNOTFJhlFtT4vZTUDdh9Q2JantRjlpVDLfk1ZaT4DB+xLqH0rY +JicLCW35KiZjdIwjgp838qDzjjmK2Vlr2NdjNNi2BxnnDRAMBscYuCcgMhnU89sZDj2dxA4VA8N6 +pSGcipZCT9tRlQq6msZ29rDRhlE2LV8bCmK1w+zev5nEA9kejnsDAW9KgJd7c2jMx0PnJwtGkp++ +YfmCxX5fAPrtZAwCfCjCN87gdGpshY93zf5NpjWisVGWJQzPvzW1Gz2HXOAWJZq703BbWPGRV78x +JKlat3fa3y3o0MAwvqc0o0LFOF58CC4njyZBvAx8YtUj3JB75x478DKqLPEWor0pAHE7KidWkYhg +9qWXxf96ycnMb0pWW3xiOANzNxEw25rXJ8E6fYGmrXRlEI6cTRCrvOekmyTxl8t+LjSrkt5pQ6GG +iSSP4O2AqGuye7RRyR1uDQ8KGmOvJ1/8+IA9GWkNlS7iCeqTFlvOl3+pkQJczfVBytTRvaJummTX +u154unPYRJj9ST7qgn6I0Nmy0oryNULNYd3+oyczwKVNrov95p0nChjIPXuAUL1g8TpZkjjNJMvx +L8/EL9m4gwzM5pf1t8qyQzDlynLrWdissF8I2v084QlL3X24CaV1MNl6iENJpHECePvp0sFkLgSc +/9I/Ak1c4orUfPSa7sMrzfDNK3rJoycjDjw6K9qWlyd8JkJSv4Dnlw0hDEBB5isqhQXC6Q1+Luq3 +86DVvZBMZnR8MoZcG/FKbhRZiuTFewkajmTTwC/8Dcm1cPBjXJEVBurgRckMlnd6USYyv55f7Tyz +yOiXEUJLgptj86p1UdVcpQ5kqDKB2V7AAmApsy12vLUICxIN0q43Rfk1g7rYEmSuG9vA4DD7Fr9P +eBp+fTtRorGNQraa9hcJ1ujhgM8ECy3RV9EhwX5wIW3I1/kL7z2j2DLyiIFUc71umcOuNcoJbkMT +t8Opdddin9iamkGj1mo7TBMBp3Mns7r99Hr4Z4aOTwVa1GQNJLQx5DqdVaIj26gk+cD2AAUca6Uo +WHaYJn6eQ9wICRRCPJA0u2MEWEd0eFcGE5CwVt9Y5Y0XrV5ioV9Q+J+aBoaL6dE33Qnl4qhadkh+ +ul63eFAKBw5t2p8zVv7w5y3MWi8ynWtwwe3MfiTzh6OiLOEhuKkdSRn3ukUDxSMD3zTF0+/6fDSg +OuoX22gcl2d2BILaShkdcgIzaJ+c2dvrePIehYH4rZPGsyFEo7wLbZy1zpjzLSiw+HCZNaYFIkNU ++8ONndws1KDa7WYtf9IUh1CX6vsp/gsBSUjMXDpzVQA3xCN+M841BOZvN8Axc3hW6sB/c7EkAjrq +W4p1E+HIjHjz/oFe77sZ76qRAqo9J+t60FaDTj7yBizgXOmtaM+cc6MarKCOtCpLX2WSxGrXbhHt +/vsq1qS1UIBJ5Xm6RbUueD/xm9Pr4B71YlAM26aZy6fdcZhQX/oAKq/zZuqGZ1/j15wqtksosp7b +bvfsb/1Eyhx5b7Wy63tkXXQb/EPgUwC/6TXsVJKEYx2HbojyT+WFu7YlNiHFFzDitqjwfC7T/EBw +ipIsKUDb5kqAs5HI2jCzPgJbhyytyfsRvaqF9lAj/XltXYbhVcqmLsC5tlA0DMsr/0iXpKFN2Yor +xaRqmstUE7uSwR47NJcVRcNlnQRcd66P6WSIMgdDf/RS6fchpUkzzuK1OvZl2wWj9n1Ena8csm5S +yR5DQkBclmt58RhsZ3Ez75rY5RBbprsNIDRHlJ+46vP8ORXFgaHNzC7kTqxr+HvXqPr8sj9mHrTa +8xrbVvAb1xw7VvTQ1G5cCV7mX8tIInrmV90ABxtbY7jxmTuMs4wkTiQZEJGMvNRurpTjl3F2Nv/D +d43qAuv+JTMeifVFep37qABzx7zHSml8YpaZFbQ5uqbyNCukG07zWUCMAMqH2qKL5oVvqRaUKiau +k7Do0/06swx8xaGWuHDppKZKIINMYp5eyY/s81LPFXYFTDSc6YaJxEisN/ezEu9Yb1jYNTEdQEls +IlubcND5xtOcSkNxTbkI/clZg5oL2cnSRut6KdJTIAVNBiS1sdHDTr7aIBtMHzG4a0+uRiBv9yCX +0Xot+MoxfGbq5BEnB2c1K2a6qgEa+Y0Bt73RJlg6cwC0MyYaO6tMmSx3pe4n9fDi/WgoYSrYvEnh +SfgHrgL3OCL1TfeapF5fuGIBOFVC+N22Q71hzsX16x2ns2uZxo+a5s9XtArfXCVBWjBUR/MHsHlW +syBX7uoTrRPjj1MLKyDKKJ6dFxQz3K+q1Dw3MCRdIEBIY1xmgnrFLpMmimJ3nDzmvyJ8b+aI8U53 +6Y4++i72v7lDHtGXBkgqcnjMol0fD2DGawRv+RdeT58rWB1ylRJ1IMYxJcZdUpD4Kf0N5BRX0VU/ +wmyBTWoodxWFRAC1pRDXfbN/nnfWgdYUCyB1kIorOEdyuv/Fj2oOXE1fxAAt4AmWNVgURvB6jY1Y ++hoxkHFAQUhMcxtLnIXc3kFHFVmSDsAff2Oo2CdNkIUCshUcwKviNyCFQSIbD3Ww9qng/UrYENdw +HOKdh597RDS2dWJcUsFVkUGrS38eg1v1qJmXfmkDSj/ATVJoYfAGN3Hk3kg0AQJkDzO5oCslEaL6 +hlmtvAkDpZzaXYKmoO915oe8iH2ua84fC1tsOwekRJ1srFUpPsfg0nFQ099wif/CXeio9Jy4i/nJ +G8OUQjh1yoNJ8pj/CFLJsk9YTu4Gm8mV+y91ceg4sakDGS59WoPQF9hbBKa64X7c0IVDVHlmQmjj +MDtLoKFLyILWmOy26ZnFCvsRSfoWsAuW7ns/x/5PNcdJkwJxb2mEpUFijYsJWZJVlj/NDgntop6T +dra2kAae2y902hBjeOi57ciPkfNEjhI2P6eSkGKqnHTwhgj3nsidH8yAZUwfHAqym50NDLBQBClh +qgnE7gFrnMDeO1n4rg6NHNGU6k3aqtExNsorlw+50C0HQUwCVKuV49bSWl1/WLUKUY3RSungADXg +6+HMxGkOEiAqQwvWr/s9ITNG/EZFJ8g3kjhzuCXii8XSpVXkXpEGWiGxZ3HSfTnQfUEaLaZSnFGg +LcVfHK4ZSEa1G3g2igT977VTkWFXk64Sj4xlbtPpAv0WIpBecZj6xBBVqxfpk+ZVAancMIQQ9G8A +rA4/sexfqN+E6D0kVrXaTvDPzztFz3n5vA/eL/LO9ZCiTMGv7YJCApreFXfdp4qN2j0gLvCtzV0q +x8jFD0IsYbFkEfEr0Ln2ZbVCcwp4YS4Xo2HKMLnSDPy0esvn0pz7cDWrFo35mnzhNvT+urK8+2II +Hm+S4BKRTtqePcox4R6JweN5ZEh0vcnult8JIQCiX7ZpnVZDkoSGRBgoQ+myRoAGKDjTeaLany4X +WIDuuJ3+T2qetlbU1/yCgvfg/fMlfgR/Zr8dB2T4NeU8vuo9IVnCpPjW47T3xpTNCG2HpQzE4XAK +4VxGgnxtnIVlKdPEuOzaZoP7uUh6fyvlPVshWtSZRFFGljqrqfm6yGEhaA/i9q9OU0YGsjJA5O6B +AHrV6Tl4eYtAkd4rR/HdkLbOmeyzocodBDMZVlHuCiJhAV6aveEeXClqo75qLY7u9yi8eDhXSd1Q +i5GIfaBk8kuMeA8RxuZ+kYE46Tqrb/2ODvPC5+CbHnUiIactf9Xg0QJkVVfON2AoWIDfsoPxBb3J +sSAcyOZt9WJIXh+IGDObRHG0AgXzpGm75jOka5tWeFKdu8+V3OQGw4eo/Hynm4Z6y6zfQiPdrXbS +DDwztuhuYyMzWavbHimx9AIzz0bsOS5H8Knm+kVAyJ25p001nQDv+ORpoSXIeSug9phrhxY7GtvA +2yBqZsYhMgU0SdNvQEPe8j1AsmywbRsucmpFhhacrG0z9PSuR6ACea8g17+TfYfIGix3vUGGhZC9 +8tHv2Kpae9xH9qYK5JdsSX8zX+to37MjiGYOWk9Uy0H2oe732YTnVbjb/lWlb7UI6ucxDLvhwEzn +mTJ++Y2cLpvPrZjxzxeFwGB12ZdctvTnaYoe8tYQZqzILAIETiqruixMQa3K7PF4IWiAPq2prtZP +xALypmJunnxLgBGy4jLdoGy4OqBUXUzmD2qrljxUlUZDRGcmth49Hp2JLK7i5k14aj/cak3GjHPP +AEE5VaTQRV6j9T+ZZ3gRPVMA5iXRRKU/2dp0uhE60LOSR/tCRsw1Xdto0Rnw8Vis6NqhBu4fTXod +dvN0Y1gAMzi4EB2D/vI8dud4KLkOA5iPk0m3x1roqW3oAuxH9qKUqUukP2+5Z0smAkchXqrYSukY +d1YW6qPlaoTMPB2QIeMpEoQPH8jifXepTnmwPIZDr88FDdgWs0vs871Iw0oTOn956ZgbvzoWriWg +/ItZLANL5fCk1WtqcsC5AOhnUaQ0MwWnm++z6GkT+fZLEGluKdldV6L0fbslUMcz3rO+MS4qUF8W +MqT/nYGVBZ/mLGSxMwwG1iG/+gUnONrl8EbmevUC/VY3wEJ1O2UX4rf9fycxt9VtUjH25v+baEaL +c07HoIFRILLx32QJIU9KxBNNsSHOEsTiKE2UgKzjyeH/r6yyA6aceJ82A7QojkvIy3Jqy1g+vyEX +YjD2awuS2l9suOGMwoV7pxrbUWKD/94pohL4Xyyijv+LcvWdOa1MJU22A+1UYA1ASLinOkQ6XDQ1 +nfUJbqJ9TtWDmnE2rg8CB3/IbEZqPC4YrVKHNCFEDpq4nCJGfvgpkXiH7XeQ9rcaZ0Pt3ExMSLtn +QtOwG2FgTM2oyFqTQWBn+cf80vL2qJZzQEJyIMPOZErm0ePoWhEbDdalhEhB/mJsyey2/N1LShm6 +BFcUU699nM3nJIviUI7VNT/bSekMaWntimwqO2YVz56wTMCX8XFUz6tOEWD4a/XbjmwYFhEm11Oa +3XspA9zwKSzu9vaLO6+PZcPgSubcZ3ru1GaHUelMegrP2QvF1IDOUKEgWnb77rN0XVXX0M7c52sz +s2ntrq7lmSiqrFGpXdXVuH+nzXSaFWLQMSc+/Bi8P6Gd8rcfi+gOjyuIwRg9PvEa8iaanhtfHJ2S +1TpqBUpmaks54NGqiMrY124zSEzIADQljnV0AwBI6UGReMuqdfHxYl6tFbpEXHD9iX3mHuxIyz79 +PXBnuu/sYfi5w9kRsL9yQLCLpVsGiK8jOlpM8GYP7qIEHieUbyS6y0iXoK7lb3ohOKK9LVZjGgOQ +FkpD7I/BkV3aeQ4uiU9Qjy4VPU49dBjiqB1rm6n/tbzxxnFDBdt9hjY5TLCgFr9BKIVlE56OqD9l +KLa2IIri/ErFfuPWiG7Az7gOYzhDTGoWHjy362CTVOPPnnGhHIwJyv7OxV9TWzZu+lbl+BJ6DtAZ +ZJpIGSJKzj0MyMQFo1xgUB2B5BWaKTN7pgwBIrs7pigs3BlnaSb6ShEwgpnHvxW8cPFzDZCWSIZd +VrmR0cg0IgCEbueCHbrxnhXDo4qtGDDvTguHvgPmkCA/Xa2UuxY9XX1pTlvnJF0VW2MRlOFf14rd +7e+RU03Dcq/1O76T3QzMV+5bW643Xo6383/Hw52HlOk+FOrnDxiovDcsY+YxJ3oxjjXFdlRHlgeb +V12FGFzyyFlg1+NDqIkrbEP/FMjFRQ5kMAPkH5XIdpLvM2/tA+t83bmIZ41WTCETglCVIgqrfW7i +59CaUt1PqO5iQddBvW+VaYaIHdEmx7xv8KctCbKX7k3NSzi/aXqAhCQU2fgaOfZc0DlEsvrx3xoA +vR9mxHN8doibPdmTM2qlt36Mm6ajOXNcKZXQqOM6xBx53DvNxn8i5jNMDBy0tnI1/X0e2IP6IJyv +iOIU15jWjiVf9Ql88+chrYslTBuNmbXW37PGFRiBJfPAd+iyEyTrgA4c0wIvUcKGRAMKWl89nxpH +mDM3l2dYRJMQ+0SJUP3BXZZjGW/ybC/JWRmOstlfWgq/vFYuFlYlcXSJk5FAg8y87MYLhuKAkwix +a93spDzR79TrzYImxpfLCjs1b+3UXo/nurPcj7Dfx6C+k4TOvfaisXRFHkAMK6RN+PJvbwFjhEPd +JWUv9QfXHL3hGd9jpbdwa+Z7PTLqbFR9kLnDg8oaGY5Dkh3rcjwMLnV43C1nSVm3daZRqD7DYix0 +9+xazUx/SHkAVL6ajp4gOU+RRy5SkIOMEuP8SGlvSUmTxMmsuZ+tZHq5GzLDpXrTcN8wtUrFggmS +v35+orlZmzA/7VHOn6RaOBM0T55DDPJol2rXzMS5TPdy3NpCy+aOV8sq9SvdN6v2W4u3s9LcWtpR +6Kb5O1nBXN7GYqtwy9oRoNt7qaed2jd4MfxG29CbDGtrVMhLqzEJJbFaYJJ4nahnQ/7AVNPsM4Tv +s6SRWiBtjSkhdEfCkUfWV8BnXxYNtE30mdI/XE0ETbzCNcEEO3UT5oOGmZ0gZddGNU0eq++LIHLP +PLCCo9c59vcLI+zi4IVhfdzrRreDGgLZ56i8oqP+0CE8jvmuTJ/0L9Lo83M0A06S8jodBsQ4F22B +GK4+PzkoiZyOUYKNzFMSR6gTirpSZC1kd8m0FOIADbWfIVKuFD+vvVzQxdKJtpRfM/GfIlR2ejfR +7q2Jak+X8iPeiX6wVnS5gyWluEHq6hqsKkRd8++Ns8QHkvJtUJUo//vogO2YgL4sAzx27QIKzreo +sEvMRae9Yz02YBJ9pzYktcGWF5mUN9TRaOT/Vzpj/BzkxcEceuDubtvl6t14zVAYDGRLqbdGX/6X +TnFLcis04x41YQenraziqEt9IOvHNe0loShGZIPW5mq/QX1GQR3ReP3yGwBiXGMgFEoZ1QMi9M9+ +8aeQuH5xJ5MQoGrFyjjsiNFXoN51G6wAz6ZQgGIqvQH60kIS2KLXk4Dp+UQzGwUY+aaV1FcYAAUM +DbcV+B5T2+6zqLRgGaYaMB5F/nNIvWVsd3BBmiBLs4c+zn3qIGyOmajXspe7oGmJefPLjeWwsH5+ +GTAMIviC7I416dDagTuos0qNvB389HXDOjDAm5Amq11U5RFtS9mfFo7T8JEFx+uWOZCPTWqdm4aU +IxyLpT6jy6YBHQInFXVhdnn4ydY9LrvZbtJqIIq8Qf6WWFr3otzRKwSR6HZCQjwNSGGE1QTftt/J +BV3NuMW9UGB0gDMAxEVeBmwtlslREDExmUn1uoVGtOprLI4W2jEV5+TF0CJj+lFgXBphw7zJs9BG +ePiti3xIS6h9wyaONhWR0brcpBiNen/DTy6AuceUjPj0nI7YYm9SN0r5L25vQnQ8aTYPYwpnBaAv +pvrMqbMB07PUmdkzgZnVFBiwWWYOI9+wWCzsKdamK/NIduWgRyJBLoVHUuGVfRj60NnvxDvnSKaK +d0r4KwbBHJpGau66wlQBAgjqGlECh9Ue5hJ1ybrS8BmcVbIrHH6l2/8VRMB9HOcY4hfpp8l7KZvP +Yy7IPUcocBu9in+Hz3KlLc9ZkoWlZj5hYkddedPWRg/dIqUkfhqCTqUNvIB5/QFZ15kG+4nQcaWk +vLXkH1lRt8x6oJmBTKVGkUytQfJpAF/rIXcb3dDEVWfPgSAG2vq+g/eGl1p6ltLnNWhMWkczaQwl +lDokbWTap/DSZXZgn+iEnKcJWBfFxZxA62WCHDoqnapqIFZNQ1ltjVUG0VBrZYkWA6ARnfsNWzpF +hUIVNFRrnTRVuYy3jCwayyxV7jfaFRB9APHvqtFvXcknnvFrnYorqJynzIrjUfJtb/24Lljvk9bb +zZFbNuTyAWlWZfz39Ewpmm8s0Ps1QMaNGIUFW2AqiYbXxM66yk2uSB0lR6EuahgPnAphvuPLlyle +akQcuORVyBKr2pg7vMr6QJkyGLm7o3eMyvB+773A6Qx42HjHsnxls+YF+IzZSvcy7dXOj5q/UcPs +fIOyuqmy/tTfKyUug+t9JafuxChs0yowmEvAqaivb+G5DbkItCnnG8dZAFykcfbLHHfdzW6R4mNz +eii/9lY6iFzSTiSFxPySHFAmTNVcw2NjZfz8PNBNwHa68Ya3rXuXmqJOyIc7B8+FHl/Mo2c7E+IG +vRbaDtUgyRKAXW8c6nSpy5CBMIPPE2AxDh7foAH5hQE3M4/FOJ1gXY7gcNwscrV1lnF6WTFdRcQa +84sET34Z/yYUeHMuvMwf3Hx2yGWlVjf9WldT2Z/IOs/DOp35yIrsO56CGi4zfvCCCn8MIY7/ZE2c +Ph7FNXB2v39XNRcOKMKp4rdR1kHLoACfVRE4cscXQpZdr8Y9jFhtjVozHfRyI5CcK86KBab31yYv +Ji42kwcs+Hm0w60mkjfAoIn6sTA2W6jJYvUMs0L1vITOmGsKKhZrvoCIVoirTFXt4YC36f9G9iKq +sf97YELjaLsyjIenccmgv6a2WKpI5GnbM6yAKn9NaKD+yZOFjbkOI/koCxS2XGWUqsyXYqQopR9S +Htmt+rT7ncWzuH3furFlimNjygtS0xnOy2FQ6VWeSFlz7tgFD4GAvhJnzM/98mHbRM36FbPFOZYz +krirBqq5CVtZKvYehUprHgezbIanPGwktxhjQ5q/MzV0NW98VvDA/sKVgKDADlOfKyrCeZDM3z5W +w+UrzI1GdvFtiwRREb77bvNzPti3qbVt/BBBW1qXpZgadju9GCRe3Blx7JVjeE+w1w1wTkv2Mt6U +71A02MwY56KGHlMYm5oJSn3rs6IkTWZ+isM4IVD3l8Ftd5yRKaV/D3dVoM0UY9oRB1r44PQBofn6 +qVglL6M7TV1sle5uhptZfPw1qeBtiaQsx427W8JzA7QQNn962lG3cHAS/lTQcVr5m6PVp0EYIt5z +lp4MO/qKOuoKdT9EXGJSYkvtzfth7dZREHHJGiXWNo2skdbEuEGw5mPIKs3QPzjnLdlDDJQ+/fuW +gsz9NDRsVKJfGRkfHfuJqek3AhucVYMP/wocid0cq6yozBSxkUR57UISVaITsX3sEumiNO3AdHKt +FdcIOKQyY6teMW7VP5djqmze7IOEdjcitlotWt+ePxF8b8diqCN9Ep36BKOmVo/3GKB9qb9+4owz +t/eFzYZ9ZjeiQlMP4M5mKFZkryhbzuXyA6oG4JNFjDnWiC7nZCFj2KR5pDZxtYXA5Kl/Dvu+Cikq +YZ05HFUyTUywk4do4NPg4tJofP8ipVWgS9GxIQ8PnuOWDLwY1lWhKulragK7/lFSAHpciVe5n+jU +EjSlkF39PBZtNZZB/Oe4D8WDaWayhB7wdGjQX3/woJm3lZX65wmxdUyhw3SaxzK/S0p8g2te2dvy +8Qo7HiPzWZZFRMFxVmBaSZErh4ry82+ml9NhqNej/8LLo719zwDaX8kUKYWnJ+I8kt3WsjXUyKNX +fg4cd1NOUCakRjm1NS1wK0pzbLZaf87/G3q0LS/BvuerXv/w1JjU5aC8/apG/F/XlwAIAH7chtJZ +VZqbVGAPREIq1EJugxWK2a/rMu+6jfiUQZjXXNEaj67XNaHmn9EP+e22XjkbnbMmZ4RkMtZaA647 +fcP2hQ2r6CpTROS6lwcAC1OI7UV9s9tHFI3bZazyn0x8zf5+TjIkf80CjxRJWRV7Ae7vXE7sgS32 +RiOGUjjMRywTv3+TZg1X3C0wRV1FQV2XxFiWb1/q9CluuZds6tsauxUAqXe8Y5+igzhQgVUUBhzH +snD4hxpj18AjvbA5Z/p5BTtwNlNSjO303v1dvB6NhAIkOvvqCa2AxpXnQFwzbU6YBg+dDAK9Mox5 +gqTuJ8Xl6JGtWMo/315WLyOWI3HSFvr8KIqKTVbkzamT75Top85RNFvc++zNie6UVyk5QqxBmR3u +JBrHksRfqt/86iq9SAbAYAy+LkMiyiRN7YWzm3RADY5LtxlCbgGxsr4cECEANu//aFf/6qGfDcv7 +OHbybcZCsmfRL4xy+xlkE42CPxcuJ73ysTvJZan4Q4ZUaBzsU76ufVplIAwluI23LsVAh8JuQcZY +oevzorHtfoKgd1V7kYHrl6KU5KLEZX0SX0I1ezrY2O7L5gbj26UP/vfJm5hplQIY0pQZORE17cWn +xx1j4IFEbTt3TSyYVLepV8Bxvm5yRfyubYG/vL5H4RjdFWECmwwsnitwpiiMTlsGGoyGBq6Xp023 +uVvWdEGyE0n2LnZU0o3RYsuw0pUvzFD0LanUR2tpG+MJyr37s5fqwKbU6FgJTCIO4ymB/bYQyyL0 +0qV3LOZNms/S8m2/0TJTxfttAbbFRKfHNi5SgJXMYs7lplnhouPNnU/c7HQDVuwNb8diPxEDGT1L +UDnUGG2j0ZcrB08Cufp5rq2uH7ji6CoorumMsFQJGDgm1tReZoa3rbQewetH+IFUWNERjMPu59HL +JTwLl1u1aSIUAYxAIrRldMPtwZjt4w3LqGxc5kfSFnMS+06YDoNpbun1fCfup/31Q5Dofb6vzlQ+ ++rQq3Nj1SQ/7zPeokjh7Co5G5gp6m8WXKhihrd4Jc2ZuoH+X08CQbs51AQ3E8/7AkXhY7BKDZOyW +SFGEvfqVpJXR4+sIxyexPcKjEFgw35FKLp/dRRXT/L8lKuWu9cE+JHrHDyABmKfpSvKS4zFK4Q1B +URFEdKQznkAInMfwSTALM7hauxmy0tLvwjfMBA8JGfpMeghruLtpMHYAFvaxV5YxZrMDppdQngbt +k4GMIzLZT1VsBsevoODj17VbiKINu/SH45bg7MagJ/NhjtZ9/+IXwxDu14JgJi8aQRM0Iqf/MkCE +y1TcKt4BGgQGi69L8HGy0cete139LvMMZw6Slw/MltcHqKUcPhB8VGEuOe7rYibgwI+ySh9iq9r3 +AxYQDWJ6ynzG1Zuzohp4oI96ceoGYqfZy8ntXFTYuYb/Liex/1fDA7j3C6UZLT1FI4dlohAsza84 +0QTL70IN41so4sed+R2XnFBY0JwHHcP9fz5bbIIeOoCc/6rxiZYaAZj34SZnI5Yf4m4iSgK7ZqKn +0xmUWTwh95yXdWTAYToGwqbUsSVF1tLTRvceuRNRDnSi6Q8D3jUeHa8SKxVCUpZmaW1WHV360ukE +OQAnXTXDeh8HQIjFZsgtCvzlCnVfw7pa6rDIJJlFVEDMyycgGIt2OwJBWxnKgazEebwc5i/7Zdfy +5u9FHp4i4wgys1SAjFTkbzwcATF7BVsh6kPpTZERkan0SJsYzZIi0v7RK3/a5csK0LtE1YfNhehw +Q79wirWtY4xAPnvUTUUaZKDjLYBRuGXpulqBCXK1bkVVciwLFZ3sJnj2OYLeXxykx3LzQW+e84zg +KtMzUfa8OwO5cF26UZkvGlaDfN/xsyo5KhOVtqimeKbA+pMnJz4zpF3mVq2NZPjzPh44w7S9KI5C +CTYTrYPaLzL26WJET6cml9LLGvR44snSCZKv/2rg6D+zC2t9hvZQQDZ9uhCfHA9BJSqWsjXubFYt +tLRkLuO6DoTXfSkTP/KyUma92WOylJOMvY7DSISMkbHyBSl0OFVhufRd7YaRo9X2y0a8Os1mvYmp +H01WzxydRF4Vc5LIMVrVDaglArola3QyAMlttYlhalWCATZMRz8xwwajP0UNOEZyLjXO5ToB6+uT +eMDXoxRmy1EjZzHBLZJfK3RvLSCPOk5T+w/PyIKVrKNhbpbe6BVWoOAN5f5BO4P+xWfiq7onXnZT +mmv+HZD4N0ie2aAyDckdneqmbpu2+WKR4t27D5tyidc6MxJdd2IE40C9o1zIM3N35sDAir5l0xWp +F+Ag7UolWqpUUgu5fLfutKo9LzpiNOQn2oFdBBkvDgWJVUVDWW2/dX1DUVpE4jyKVKL7UHMUEczm +MQ3H82aiPGq/O41eUgj+8vPinGCraSWUmCU0gnb0/FHOH2CZJVPf1NH0GPzNFVLiun/7dEhlzMny +B6l7GCaVmJuRc8566xe/uqrvxPa08UUI+F7i0W0c9IZFtFSEnyCu+MycqeXUT8uZ0zjAA7kPceDi +uVZ+sspLeQ9FFwSTE+F0JfQ40l09zwp4kX5U5HvBviRhevPyR7M6bCtFcm2jYPS5/V9o42AjNQ0z +Xi0H9dgiStZsfoP2gZGMhvn86BV0Qh1m+UwxiekGAodBfComd2jVBljKTziGnB61IQaKqibaH1/f +KxKu2WYQ/e9eCQy2V3thzXqWE3G0os1ZNHkcbq3qRNvTxNW7dgFHVuyAmXCb6poupyM5kR+oPlGz +yMX7rmb6CStFOLNtufR7SR04bdQ+6J0YxdYfRSfewR4dbe9fihGxGFCsNuFJMivhJhigEwWScMPI +kTOuf5hKCD5npHrNANAx3qrcA0bKl0ooNCnMwIZMJyDoBiDaPCfZe2eTdUawaWQ7Zdl6xduOJZhh +R2LE+vLVTiULkyPeKVYHm2yBxk4t2VVHSf1cIU51cqW8va6qm35v4QGngOURuJH9p2jM4iuZZx+7 ++vchy+nIBuMypqIPJLhHn60SErNqpXawgdfXTtBORewd4smf3rCqDGLmYzomdeobcWQqz2bueW84 +MvsSO6BO2EgMekqnhq9gi3EA08uM1x/PfNo/Oja9rM4qnqc6xSwTgKP4SiLfM0j1VfNp1G0iEQI9 +hWNDKbi5mYhvZl1D3/0jdtY4yzzHRexDJTmLMMREnNK6iL9jLKr0CXxsSVsEr4mec03PJUG0YrSF +xBri2ejNUkrShx0loMwx4axf8EwewFm8/fqmZGJQvI2ZhWp/9dTZbp4hiKuG0qky/l6QMLUP7DbV +/Xmi8XN9KysLBhY5XSDq43pcSvGFxYVdQKRfqKmoIWKp6bS+sy7rMatZg3m1tayy533+TzM3/Hu/ +F2Cok3jIxDo98LOAoeN/24PAkRf+mCVZJiTlL3rBYk+8GRUhL4Rf4VTXj2nnfvryC19qf2syj6aB +3gsEfLyr87LDuwGWXfTt8QUqL5MiftvXZZD5F/NCdlzUfsXIu+I6jgt1k9AXE1a3nCprTpw/bcS+ +4e+1WJeiqSwVPCi8oqBNZhHY7LNzQPkS2Emo32psDjaU8r030FMz4aeMq4ESdnn+wqyM2DkTlCgp +9n55yj+8qNHHC8dzPn9nCXBsqCQ8WStG1GoYl/o2Haj4kh8huYkqAd1PuxPRU6lLTKU3g8yBkjPv +FUxrkF0rH0sex0cU1ie3/UB5JvtLM6UmE+H7Ek8SfSJkDtC9SRMIlG6a7D6voYrFyysGkOpPjR5p +5CK/rKASWOxPkfe3U9lsE5t2486KlrOWb9z977d/lQYDeoA/5qMRE+1WF6i8m3QsGwaljQ7i6gv8 +MbH5XxKdvX83Oycc/iM9Mc30ljFaWPFlT+6v3NsImWKaEczmlOG3C8kpFOHjZIQbrh5ze+FxXcYk +VwtIkOL1ta+8kAP+3VRimc7hxl0H8g4uL7Ppzf4NHVY93H6JEZfNG8nQyR7t76qbKzDU2PWPDZZQ +f5ANth7z0O1oL3dac8xQcNsxlEiBAt1dbN62t+0LNIVhjEIGKxBeTPMUBG/RQ0Hu2VefjcxGEDNV +aX03Ns/r6tPW0fzeeiwDa0myatprB1mrxReJG9Pz7IXQhh3FpIzwlHp/ImWsheLBMnZlhnhk/BvQ +OpoEHv6G5ZMdzIfmY9ek89L1enBgFHFVnR1/gBeZqvlSUWmq0hpaBLc8JxFUqsIF+b5hihOyf14z +KrpI8jRzJGcXqgqeD3h7d4aAP2La/1NntMmGoeu9ywsv+P/tiCeUhwyfKi+dCs7pQ4nbgIySLGji +ZucL/4dx7UYaewHveFV2awOwGYDuHcyWHzyndv2G37R8nzsfBgVuP0EagwhkPp87k2H37IiwdiCM +mSw2FPtODWzC67VdzFkpPuFn/vwvr03MNgSsDfRyxzF6gUBnszQmia63yGeHKMqCbVX3M18E13rR +mMz1GEj4MH6f981TdT9usFYMtmiTuSOifc9tXBOuMt/Rmm8X5qn+kPYiOgtuLPb8hqAjWvOl15Mf +jxxe6o0dmArXxG2/J09cKOvT1Q6/2GMtHOVysxyeyT75yH6c8jC6ixA2nE2KnOwUwypyNN7fHd2h +/KpbWcqKNbgX8wSZumWjxy58C23yZ3eG2Zp+LLFEDJQndHQW5DgMvbBwY3ErfKLm6UEzA8+ha3fD +pWeAFODg8SeJMDgKAIAVsN0Lq5HxY0WS8jfcgb9Hv+IIKnWwk2XhQuC5uZU6+WnufLvHjx6s307A +/Jwx15An5sZZb/slbo9kFm1qgdtRp7sCXiuo5Rq9CjTqXXjZjbEsWTclmm2KrFMM84puKrRWFNYI +SR0K/i/Thj5Yqm7Aug/95kakvhNTX2nblHjn/9xPOmVUCq6Wmb45WwgasivDlVsVOKLEj68lOyLY +AwhYqFkLAYmTmeICfU/Y5fRklXG8RczH//8K0815ibwJvBljN5hhoCNUw8yNDlEvb1sywKeWQxaL +ZMfYTZPJImzOQ57gNn9/Xh4Upr2psDGxcVpVKIudBQOu7KvBmrVo59EVX84c5rYrarScUP8jCaaI +cIcQEvgWF34KVmEPAZ0UDyRDr70isS9mgKvYZo0cy/Cx7Oqy337fSmnreNKy+lxU5WsRlobkkHgg +GR3aFICGcSANvpdgWPIE4lSn4Pd3u2rRzP6VlIHfOrQEeSeXEK4uIax5otA5urPwO94WofVDxLja +Nj0tkTXBc3mgP5jSHGm+F8Vo2avsj3XxyaZ8pLOnhxKjHfvqhVOCthpsaB3sJGtJaallA4Mxqfiu +pn22cfiA60Esuz9NoQQQvxO7WV2TdyaMf0D0iNi7ynLYMbJKvbaAP6uA4/6ILpt+tnPVan6Fc7Q4 +nyTAG6RHlDADCNQGStbZoH+qj6RVayEO+BhDWLaudXw0HFXPqKbG0lhkf0qgF9T7Ep3l9kuYARok +MMVrg+R/BonAyS2f2cu74lZ0q/Cga66hho8eabE59UEalmrmeGHwu3jgreAxtBolOUCFP2qoq5GS +36cN/lSb6ZXn2NyRhBa6yeCXPeMteCQmJ88pyiTk2vHNsmX2PAJQOQ/BD73rps9jsfaqo0/dyL0D +5iFC1uUTC+aSkwdNDMh2ZfD1xgexZO3Xzk9C5gRnKXveTJnW6zMs4LxizWum8/O1J/1hQUkshuq9 +sOJf4rY4ylECFd8iL0jzxzRaBfo30aXq2sn6hfLyG+DnxstIAVN/Xz+PqSDhpTQtujGxobp0g1jC +gF5crlT6y4UIETNzyMLdm/WQccbiep59Ua4XcCfEhC60tE9txwtPnC61Ck48jE/6OVP6eDrtZ3bt +5kfyYLsKLrVlsE3xYVeaXW2R2/36+s7h2QYchYyO1NZSCVTkaB7gqvhKkN8c4sI/nSMpmi+cfjVZ +dCICEIwDdi3K72jPiT+g64wWmuYKDIrKYsJFc9j6Jah9scz5n4rk7fLFTM0QIMz1XnqsYuZJls4z +LXuupVNP/rF3lfS4HZKMPjw2IlbEJN5v5I1/AQXtJl1uiTts+oVdKMauZUI3/gRR65eYi5O2NHJ5 +8rICShfm2T+4/mYBAFRrD2IT3IOPq67cmvf/DuwwNiQlmWaAP/lSgthSjNmIAAYLwJdgS7upGl+E +XtQrpV0TMDobKs43UScxwimHFwliFjlOhSaHrUCOI1mxZpzor7sEF27Z0Wip5yH2oG4mFlwYIJ+f +uDqNbHLG9/1+T5+bsceX3P5yBBxQbgN2p5uFsIZdbVvw1qE+LXp9zregDWku+W7Jq8mZC43tyM2w +6bl6J05BDFX43vfeX3MDq04I6276Ld+9CwKdB9bQ9KvQIJaXEQMmx0jbrS2epRXeJPK06KF3JNDD +kc0jktmaTNuV72FM/EQuPmqGJHZ0LN0a9BWG1SReg+XFzd/InWlMGKpEKgqa1YkafoQ6v+7iCKo2 +2/vqSkFEMA1Zm8GZ0BPaSpW+RzU7NnCMxYEFm4VFd/Ho63xNn0jT2iTXjWK0pTCwb6xTzKLg8iju +bvbdjEsqCHwYY5txiu7PhnYK+YjaETDUpYheNF6Ap9h9Ojs6HSr0/GFeDl3nLTZo5Oezf82Cz1QN +0gDjyrMRxjSRXqs/X3PjNQiL76DygFaJzjpBmqAyIsO6NTJspjATuyW1pPRuInItgTVF6MMTJ1Pb +qHUCdRYoELXJW+tJG4t0Jytrbh/RgY9o0Ta0sWJegvPGRaVjOYyD7EWsJ6yIAlzdAxeA6vq5yhXC +ssjt1SWJ+gRhAsILcN76hQn8C70gz4Hlw1iPlAL6lRskY9KCqx6n5WoTO01tr29G7b5aYzDgbzcw +mlLl7Ibz52uwzqX6bvl4m+Z/ls+GiGwGTbdrQ49KEwMPVKPL5v4jI8/Zhcx0Qb+1eO2XE721x/v5 +ii6i2J30msZu+HnRyP0AmdM/gHV6XLugzfARPVLR/eyMr0QQ9Qd2KesSu8ZqlMOa/zjC1Xq6Amo2 +YlDdTzGXVKqVZ5DqaR0tJGDopYro+TbwqY4bYPIfQZy93BEppon5CzFyWMcyIzq6gVZFFQYyXbR9 +5cNI8HKTVsD7QIp8bXBgAfB7Q/rQH2tJj8jOPJkBFn9BI5lIi9PFKF9S+uNK+P08VX+Z5fFGXlg2 +GayeiRI/TNiMtYzrY/NrExigQ5KtsmUYa46OkICdDVBU6Rv/Nz/VIr6wt4E6rJqyw86GBRFuUuDj +Xx0qpToDQ7GzabhgK7MY0qOGcWO6211VwbFo/xChCABVnzj5LaQ2tnmf5ev7dIjzWI8a7+Yxp7g0 +uyIKO3wFNtz6ZBdNCmeLhRJ75e3ex32gmQdT9xD/mE98YWfR61MMgScUjT1yXLqyGDBTK1s7yv1o +hbCZ9JWJuEdu/pQYihoX+WG6fb4/kAK/o9CvDw6Pwxu1Hae95o4wLV8zgLJwlajTqRzRKHgFZNRO +7ydZY9e+JqCRaVgyRuOm9ZTYfN6gw1gczD8Z2xvLc6sFCfgZ2jiDfwEJKnkd8foTTMWySJybuD4f +nK9JFDeuimxuHj5MHbYYikM2q1xZZfEfBUD5GVltClJhDNfPGRhDHIxdERZ2VKpqXpBSLkAXybtv +dP7Q1fGGDK1A4YSXuIAIik+qRFBqDJsgk6BQMyD1NDvvRE5kiqLAzGTJnGIBRga8kAH0xR4fhlVd +jeJF1ptVIEId/y1q4v76wOi9ZV2SigM03skB4h6UUV+GW8lxbAOu1AQnm5pwampEtd2tDdYV41kK +6XxVLa739beCgC74NR2Im8zw5t+M8FQ8Rm7Zv9Uxqul6rrH39UfzD2op6djz1yX9w8r4V5z5sLR0 +834GfIOHoAajw0Heu3WQrkW039YYM4vYkVeJlJYHuj/HVcAYY7IScl0iVZRTWx8txptM7bUPGIwh +xbkm76veO6bPmEojQUL77ocDwXVuRmvPiacMSuNnXM+gaFdt65uhzmldvaR7oUppn3OJ5IpLxmd6 +Ok6fnDcKW32eJurhPfmYoqV0CS+hWS1LCmSBzXV00CVn3PWPFxkZxU+bpA3waHZEqeWxHiqZ3pZj +3SxapukTF89SvipA5vOJ1kNGVTsPJ/V7nYeJe29DOyqfDio8sQnl134SFY3HcezA3aeLDmmOzlkJ +opqP2O15vrS8Y7UYOhiNEhVVlXfn7iQ3En2FoT5wb7k/lL17MySvgoEZxENvL3WFB5kDArkn6A7h +dHEs0RKjKEJyQOwHreNzd0aleXkbHJAZFJH2qT/xbiM5/r07GFfiDFfDzmbS1vaSaIuSrbcQ0Gl+ +A6i3wAquuAgLRlVxCJIVj9+o/wbRo5ls5wmQuJS3tmJ6E8oDJsO8Djv9e2LC2cApT4DHmvGMdDKW +xRSK311YNy7idL7ujhpfml4oniw2zr2S3L8t+PUdB1vgVocPxEN88SyPoe3vZmIIDJE89ZR6AiE6 +/csi6tX7vg0WsWd1OC26cFQg+uiQIoP2miDSOCzSXbf4Tt2VV+z7unb3myDKq3YnDGhNDps6O6B7 +gUH64z5CYI9pw+qQBRUK4YCpQuMXjffO5kHXjTZlZ4rSEEEcq2shjGI7c65ktZyyMfVRBvINVAtZ +/PYN1MeWBCto8DieTff1qqI9+rDwPpXZ8/Tt3NTephaGQJ2LNInxtcmFp3SYYIAzHRq0cIcTbNgw +OOxoGC8UC3m+Q7W0Sw/bLO67FR6rfov/VvCO3EIp6iAKLOQFLSbFTpRJTW2iEFICev13lMF2rtNh +m1WKRQMyQ6f2dCQdkVKrczQrvU041hqmIMyMg1F+HditCUs7psoV5931BGl5+nn+rwK1N/mEVi2o +YzgxDrv3en290WxK1afTD/x5XagkCH+RyVGfDtr5+k74q78+JnNsB0VOFemzd4s1WNXDXZ9BglbS +s/2WLz7WAO2o8LIT0R7Id2Yl8wmXYvQB+N9xM0zqprLeBA9jKrHoHFx0ZUcx6R9OiDxMdmLxrIa8 +JEQUFai7Sw+twIizVzMqvm8Ev5LdpqkLcy8HH7UoZvWCq1Dacxs3iEeg/qBjNABSA2BPj01hgd6O +X+utUTId49880pAi1c9RkbYYySuq7O4zSpc+wAT8i7jhIRdyO7+AZQ9d9SVqdlEwsdUHfDFEmZPq +c1wPkTz/Do6tp0sCi4HW7JKHGKmo34isR6jj4FhR0PDJHB9FIeHR2Vc+oB5mpsKU1JTr7eSpWm1g +77ybqicT+qlsGQNc1pNoqoXJwe+7P1OJYhP61TgZggdBb4fuMPHpqbnOa7fQL095sOB81lOZjv8B +aDyFxq4Jz0WQlGxxAvCraBrDpMegiRs73FEpjDO8Fo0tZR5CPH+GzlXBcmgQwkQyYMpGNrjnuPkf +PTQt2DfxRqFh3kDw3NVAtMTiSyjZ4eSd8SO7LpPjKOdbYE3UsaFDWos64rkxzkJKXL0Okncr8od9 +rjbae+Z/4TkXdHrjkO/LcE/PpT+u1O1nyHRfYS4RE7Lmjv4FADyQnwfkR/CtMLobG8n797qqZFHb +1Cne5RWBJ3SxCZ+TJjr4W9dwdsFTaEKpKYGuHKWxmFWu+358QCs+7wCFlEMMRewiMiD4Y7afPdpm +w4xJIMHMHl0gyKrp9u+7lB5Lsm82ssGC5mTKdfoP9U1bUGOKgx+VJFgD/o8I3aJg+wbxRRW76wly +aIR3hpmZisT3Hr8C8mP7kUSkPtXhS5C9s4FMJx9QHizOVksPQYMAo0IXcq6yeA/hTpWfKnqh70as +VeD9pu4x3nyDrw89oWYT+ZITrkzRZa6M62wA2uPcaekfWaIo2C+lB68S1NwYOdK7TJXzmdupC9VM +ZrBpccAml7c7JFHhymXHHNirLHnqI3lqrrRc5c8kM4sm/qYvEnHue6sv4b/5KAHEzFWgXFufPUrQ +++KQiHI15BCLM3W6hsvpDBnA0b18uaSom6QNUjpeE5PGjDlTiZlkkhh4lmqyVI1URQEtDxwHqICA +7W+HAIwGHy5BAC64r33bTjzdjBfGOhvoxReg1r24g9cCTQ22xYfsf/CE9xycM3+9eMnkFAoToi85 +N5iFydq+rf73Rxq50tRgjGIPAFD7GAGft3xqcESU3iwW+qJwHI06z12LqhMmGX7TdGBg2McqE0Gm +u8B9RXqKYV6AxulYVnFXu3z1Q9pSQ5RaMZcrfx/HxzLu/HW3lZwKWjFx0WttOldqivFyz482gqED +hsmzHoWDINPwE9ozRAOT21f4PtO5t4rdfPiO4gV498wcJyKV0br6FX+HnvCr5tNHlwvAsjxUNYLa +luS/d5mxQdglVZD30wIcIW/PqVaqBFJFPHiDzjAT4MKstLeCd3WL2mauCLIKxymmoee4D3ffD+EO +3VZujPag+d80sDJWLyuBf3tdteOwX1ksAwRmiGkAyLe4yE5O/iQ8pQLA44ohHFbiuZs2Z0yx6vS2 +1+xlZU+bm70/SPj8igiq2jU9P1ZW7RqpAP7H6KIdgVccVXQb68fmSdygxVi9m3xNkrb7A4Esax6u +ozTY3ZeiFeVTG2xOXXbtJjDOuXaxmrMuqYIvYKsNQ/5R7Ts4tbveBNV4nXaCQx7fBWu/7lCjuXCo +SPwycd1J4l50JwPJtI7a3AO75D7eMWgU7ZAVVNoU8WDPLWOmEmJY7D3MJ0GqTDJq3w8YrkirzSEH +npTI1RH2lxhC6VkHeHFV3YPKH3w5yMt//Wp+kUT7PsQkjdHeLXuogduZwZSjEYUGXeXAaulmA+u1 +4JVks83qqoJqWiIpM/JmeTAxKbjSC5vww33kpelgwAmN9XyBYVHSFHfaeb9BVs3noFW8xSDH243O +i+ByudYOYbZjbH64U8/S/F2CdPkyzmDvcKM4r68Wn4Ze3Qb0lfGKzDuXjv0sW9s2XCQl5MoWONuG +q0e4eeTA8jYqf9q0Ie79B9ZNl/JA2NIDPqwJ6g2wnCQKgPMvRhpkZuicrJOc1WctxD42vCI/n8KP +e0F5qaR/yD91B1sxxa8OZSh679CVSfh6XLfYL+4d2rFtia8Xz6HsVT5TXd+OjQixq+mPAqtiZAs/ +8ZEbw3mIoCJ1wQRugJTP+AnJIA1MhoANxr3fp9ivmLOf/4mZ2UEyVmrQj6+G+T+coskjZyOHWpZB +7HUsNAw2Yfy2RWdYLr5CD2KDMXM7sQ1TvF7bBvosegmI0y0kKiL1by2CMsbp2DJ7fSGNbzAjDKkV +nKvoZ305xY33VidhF7U9RBmStWtfsJOGq9JDPhQAPu9pRPmt41NwaTLXfxbKPFXvqhw6JozrFTck +hucbGOe6QyrWuAMwaIT+9+E5yr21brjqjX0dvubDX+G/7gKavHg2TKDUOlvQJxBjRz0jf3GBtHjs +VsdLU0wMrVKEPNjs29RDDQS0WI/0SgUx3gURW1y5jX+vfqFKcLZ3srd/MO4Hkyapu9YXYeewBNE8 +hOlYkeOHUiBsvVFXxDmhGA9kKwPGwQKI1doXCCU5xUfwqUDNeDQxIU9KdixYlG1WO4E2t/xBrYO2 +tOxUdFykj7giVlMh9rGt8rRILMgL3k/OxWBO4SdS4q4aCJaTMmVASxd86AmNNH8CWvxZ0WCk+UMV +pTKdtw16BruB0OEFKqElN/onGXxV7dmL0VbZiTq5mfYz4GzkvBHl8XoupAQcCkE47LO4XrNmgVcB +oNdP1hUlB870l1eWhcShHERagLdz20AxS2Xofr7em0Kny6Q2tkDHcwFSv+zPp5JEHWwuMyVsV0OS +OLs7Iw2DpHjf0UT5d43CADvxLybc2e0Z+J60cG3+gpOCrXOUiGFx4Mgj1NYsudUouwIG+kYhubHe +nmZ9KR7e/XZjxxtly0MNc7WXxH6heQLEkc2rs4J0mCv5kCSkKBBc2MNJkFXJC4mlQafsKhP3yMa0 +FIXNV88BT1jASYKcPPr/yfwW8/bw7hGFA2XNroJaU9YIl4Vv3/InY6eJIn9qkrD5DYpy7qmhLt5Q +ZxWgVhE/eEuONweweu4Gc5TGbWOmsg9F8Yb/lEXzTwfuWzlBDnzZFnnkYiRX21EWjt8aNKrKw428 +R9JgXYcpPSC/9XvEtQgGDB5VCouzTV7eIl3jtPpInw3vvAj/CmlRQ6Dwk8QfyBrwIAYrQfDOLuwI +Un2Xftv7A7hV/gq8FG+doJm/Jps6E/BrSYPinkckbWmbKOIb1+RzjlNrSFdomT6Uniq/mwgJu1Dq +8jq0NZijcUhZ133aCftLmps6mS5XQaD74hr4ZSCWDcMRfwqms3jeJKXZp01O37B9Rpm2T7uhR6oi +/YLPREaH9qdpzBnCN7Tg0NVOP3GD5BidIiCRcJ9gICiMU/aGmkDc7Z71l+k2ApIlu80E9p+dBWVj +r6rCCvYID0urqwj/d7MjM0GY65BzTQMiTXDFJrEjZQgo5GUuztl1lMp2pbVI26eUs1UHJCnilWPU +C5moW1RNsgq7OgFhsSNr8MpQJExXQAg3zd5dYFBJVGXH2Lg7wZkwqrRu3ZIKrrtxhokHbhwVESvR +dvP7HESKwitG5NcuPRRcXksmxEA79se0cXJYJJsTgsJkP26b3t9QiDD/4aoeUlScFImNi4V8/hVO +7oLiYkbbEuIxurnQcu9OVRmXTGqpcoSjK+KE++XEVWgDdrHgdhM3uxD/pA3RHqngESKCSnD2uFP+ +3sh83puU2RRi2+oibSZXPZUBOwWNl82NzJhtZlb1Y8w0/skt+Sbw0fV/rskgGjBf/PIOMBzgot4a ++4ZAa9KyGQ7BmbFjIljoB2X0uXBu3jm9p+4nXMCUay1dFaTal70c/Kd8XXDA5TWwRhl+daH/ffZ5 +4Qn2vsYKbui00rDKVkRJBEiNKLuNikVWs+fqrAv7KahayxEPFuRtG7px4DvhrlUUBu0MLxGjjfDp +wNEPjoYHPqljEIttxCgJUd6iBpyI3yKCl8Coo/pgBFnH54sWVjZ1aqSCv2GK+yUYhggZsYRw2maL +T9GBgb2ny2Rmjc5cIOH1cIyyMTtPiA30m4C/kQbQrAPkCjB0sjb7oP9yNS9P7nKL3WrTzO+O2jNI +QB5LQQSsTZxnlyKblGGaY2L5naIzIj7MaKtwY8hidx7hOhBaZGvAQOwoV/ewxWVHMIDWVzsHrpM0 +ay7GPNpwLK6wcpQFzVmk6ZMlNXsqrcxa2K6vrOYt+VeJhSwb8uXBV42KCyagvB9IV9zjJ9rfxfoo +x7O4rDicNgT88JDCgD4ISbR7nVFdeCAIO0Ez9+IiSfBoEjcaSNnPpNdIoyLgS1ttuoQjQOFd0XgF +jshHfMxtTwzg0btfcPlQWe6DV749cL9W3e/HArlsGo4tMdeL75CZ8aMWQSxBAvvzEd6A81VRfWQb +winH0GSx7l5sXHcbQ6OMdfpkXfwP/in2iRBXThYxeDmb0fqatjNgIIPdvybhh3LeIH/aLtp/qBZ2 +rAANCN/UnEy/2Y+q5RJU/THQI/LsDG57UfDKef/tsHvgqwGwLszfzF4AUJtNMKQYPQDeS9iREGP+ +/WrKJdEUrrrOA/92eyqS4LuCfUqdJua4d2tJukj1ce1BoTZTlk28IcWY3XnNtMJrI8g+U3spAnzk +ATvYljdSVT145nWpfm7Nx9aY2LC++62+Hrxhs4zbmjQz90mkrdm3CARe23t3/NsQqKqAEatIUz3k +a3hxnJmh0ko5UvzVblcdVr14oFEoskuhXUduSGvl481QifMDzxQgNg7liTMaSVn38GsTXNUGz3ET +ab+OrHaTvjnEpIbQWXeMvQbSrqDfq5hcs/bYGJDNAxwOIjqrYMvh87Eua0Gf7y23vVB9Wtkveeog +eQMYQBkyN+l9+qf22XMtZ3oQyLLg8DhuEjQp3SlGZ7g7+vW1N4jpitO66dWcIabwcPfKH00j6JwL +p4fMsfn4ifX6HOhbEyvm6RaPggumbjukAci0vd6ZBvS7lMP/QA8mgkfQc6jDxcK6k8KhVCv1dpo5 +yr/6kP6O8tAhCYjlgVFnYq2n5fRDpdTVNzWJlvHbk/4u/VZaCbdjiUWCen4wQG9/iQzuR2DM1spo +WGyzoU4Lcpax2jGMWTDispE0+sN+hZUxgHlYGC2EQdxnOZU805Iplm5RpNKoxWCTeOp1fUX1G7Iy +yfyMAgfidhQXWaLExtkNn3FtTBfu0vIqO43wEDz1lRz+RptQWRBY479i8e2zh1p7zsMOzBCreMKg +g8ho8WmuD0atj9edGVNSgGBYP4BZ7iKWFkWbOY4HihxpovyQnat/l3EB7XP1MfNidpSZLTI6X3mZ +kv7gUGBs8L2R+293MQhbjvcoDRnnfmhKI/cQMSOBNd9m+jqGxfcrnSQ/sVWOBSkLM3Xjb44Zd3JZ +zhToYF1r1NwkcgsNZ2gTIHyWmVC41Qb4OpiIFZxQXj8GeJPeem7wVEstIrhUCyBcoxbeLTQrvoZ+ +bTpv10Gs9WpS1IoDXE/qZfl5dQUOK0gV/TiXIDz/7HJvubr3n763ud0a87G//8+WeriD5BkwHILy +14noSRGAfSwuLAVFx8rjUql+ajNFzzMmbeYUfjIe6EEw+P6qKaZ+w1aGfL0OpJ1Cd6MOePT6s69n +an1NGG2wyadRI5CGIeVYAPnHZHkJ5ESvM5xALJ9R9qWyXKt+AcZH+O6Arae4LmKwv9vDbzDo4NnN +1g2YKqFeRd3G5SXdEPI2iKWxc1XvNwgqN/p8U9G4rvyBU4uHwCaNRsTgLxBpHtihLw5l4USjXq34 +LQRFifEq29Rl43+dOvcD1T3zmrA8vniXCHld8aVUDoxiFGkGFtsNvQhGu95mdB89wvsxD7TgPBvi +FUio3JqORswyMZ05ccEvfaubC02h7wPhrgL+DMjoPMZtcPNOkm2HZgeY4eNpZxdk17e8S6W26bGL +3gzV2lk433pRWtcN22Q6d4+oDMT3ByeHdj2ktJiZo3H0F2tebDZjO2KP37rA8jH/b+QCtFb//DtX +a8q6ce3e56DuBYjIFSu8Vp6CZCgYbyDCcoFJ8lVchEcKg4QyrT4ubVKn9lMUnHTRWJWrhzHX1xtW +acJLZDHGy+lBqe4tOZToMPd6U54D5kLqhK2P3QJFUjLqznMAEq27y6em6jCxFr5hIcJpvSt2ke8Q +N89r84K7nUE7dvayTRug2qNJfr/o/dnrF3o+LxhnvWcvHQXp45u6cielWF/geB3IlY+xHV/jVmgH +bAdcisxnirPAUyylg4nX6xyy4TvV3qBompTSjL2vRF/Q5XHQ7g65L/zDNDJufm0UOM0Amx38ZKHR +D/uhkdeKOWvlONAjA1aWcTmTXOL0q/82YYRqJVuE8Kqj5ckCoqZYOKEsoDGXTVKJODRKWUd72mG3 +7kXSJStH1UMYtf/gt5vXUhZaZYKs3ld6OkCkHn03QeNrz+YMOR+yDRw+lyjtJIzjrcNUcPEyayJa +x7+wVr+mGuGYVZF/Wl657NokpwqPzkXqWHs6ZJQbSurIaUqMo6UAYjBbsQR4rEV/mrXx/H+cbgDV +ol39FoC2rJ3ld3aVwko8mzZE3mZ1ZCxqCivtluEhIeETz9WBcQPwmX0ax+/qf9Mc8UTMIJNDODjy +WXiyn4tCnSP8VvKKgtq61KXODWuw5jQ+hNP4IWQ/aLoLsX2uz9eqVPQA/cmFsDb8GwVJQw6KdCMk +jXnl6gZiw9Kd1WxHsIFocT62GuwwBIPgw0fpAUUW9NV6XyK9ip+BkIlVJRR43yAjZUwzx0vRKRTD +VZRr4HQByQL0LYcMlesaOaK5QlnJm5JwpBvKGX6yOgq2tWTeGlyp9BXvO698rznBxTA2gIufu0Fj +bIzqUQl9XzaQwjY60Hch9nRWie+50FR7aTbymYe9WVccSRP6p93ipDxopt2u9AWVqt+/Gyl5PcZZ +4mlU/39QJLFHgbCKDHaU47U8Hzu1m0sZq+UOtWPENfqksR+g3j6bKKiai6NHqNIliBklxV9KCkw5 +alDiMAlNUQopMVY2MxNOr2Gn4IBEB38OZmVx8JL+dVvKWHGJjIf8uEYg1SeEU+pBpYYnXeBkdaZ5 +Scv0AjYPqfsKOpu3/HMt/bs4AT3cn8cwMmluxzROn07VLAU7VGOWp2jC9H/z9FMyT9qShLyqBg9d +EGrSs/7sRuZSeLIjnESNSj7BAKa/coDl2UYaj4qPH8E8eN4m9sdE7MxCrzFwxn8orEvoAlxQcVZz +1IDhz9hpp/Zh2+yitmvSC3/Wrqr4fD9TX08ORuhwm8fg9UZqF4sNMW5ju8dpVMvWBIznrZAKDkFP +efRrOgs0T9pW3FMzb3bzb8l+zsIZrJ1cJzT2i/8AAGkvUrcqnJl3Xx0KtkgeRo6a6blqTvh2r/aD +3Kzsk+IDwNHNw091CTgMndyXNYoitFRTST6BR9utxtH9CJhKZSjN7tJtYZeRR8nVJcxF7S3lBGhv +7GpbvPFhPfeAwB8DzzIS4KEfpMvqmzxIRlKWYmZ+5SS/H0qSLUbpS3FeCIYRWFlAKcR9mqhJJ8wX +qXLCsXBEhKN61irxQfNFgKg+9uSUYp4whqKhd2piE1uqsglW0PFm5Y6moIh7bR58VFBIIro8MWAS +skSyTD30gnKrUZwWOuZb2x76dbjHN1RN0KVB8v4jPfF0yJNJZ4YFOsy2n67fgH54/c/9buv18LNl +nRwbtQJ1djVmRlIIdbbZqRnVvVO7n9L3Nl3jOfNsCk50sXJA0r09tyna7szA+oZSbq4jZZ2CMtPQ +/CxeFQHDGYZzXKwYHDWDnXTZbWM5FB+KUPjkxJ0oij7ye3bAE29wSRmnjnIPKVanyRJ9bU1JGOiG +2vdDwdLIszZcxTHc2jtEjWJbmP28gemjZHxxDFIyoF4ba0ZESfHpvTx4OEGfXSshSoFR4b8pywSY +5AbwduGyw6js4cFvREDpxnVV5VasEyw1GEVMStNPrIm6AYDVMw/0Sjbo/I3t8bLy/H8uKtay3ElG +ZgREqOKuqQ0x17N+6aq+j5ClgcMAnbvdgUJ65yQHhMNt4MJgAEV3xpzDX+UgMbyz00FtEqlf2FW/ +SS+hIL6wvMUjH3BgtwsdDN8AUYz3hsPpNPJopWhhb4ol2jNhnTvQi7OJ+eF/1OyjmJorGTwwbhPg +Ysqehr6RmwLfhUhYRaVIN2xa+JpZaUaK9SE/WDlRQ9LQBbXyAXQ3L9xOxmQeZCrEIfhZDxIeZ+PZ +H/wu/dy/hpfYYYo821e/NhFX+eWGdym+/cdgyA1A6YbqgogKBRp8WmR+v4ku17t71YAP/2eu3/bc +AkQJA9Twss7VneB73RfpKP6hcKBfxcphFv8MZJZbngE4GP62fJx8PEnBmxN9aywNIf1y7FlPFXJD +ttFqQDGdt9Tk0c59bVsGfmXJYlcdqkfo0Rbq5ZLNg7gRLoYmAwnZv+CpS+XjGCTW9vb8RtoP1J2J +sb+FDeZgaZIeX74LdBUcc02nhe32YtB7Z8HowOGDSy5EFNMHCgbGGMt1pLZNtzomhjwnOa/9gtDY +V3LXa4rtp3AU5WdkF8FaTE9sgZGO45lBeGO76ClCpSAte5FnXAfTWoGfvU6V453spJBPFOfDSUc8 +GQlRzoAtVayt7l1Fe15qzRPld43W6LEh1UkMUcoyU+xnp+z2WhISQkV3OcYIjTuuICZTzwT6N7S7 +1HuNRaUcUD/RGwv1q3ftqnYMSEfCvjSYvitQrozhI2xN+9TtmHU1aSMes9Onsv6p1IrNMFhYCxRF +5DXdTKySuryMocMjIkSq4W0AJiLjcTsleKfQM8E3oDEAwdtYz4kQGpDXFereDbS8hfz0dgVXn7YV +x4U6k9vCcXEDV86sEebqHkTu6kRrLMEBcYuuK14D5RXnui5YvnFn88ppVytaaw4ynZqHwNyRR497 +w7UhW0QAUnmBCisjm70uPlNs3rIoK1ydUd6JvBBIFGJ0qxHnnlaEesgufeJewJT4IHMxjHvxBVE2 +VVVIBwnU1jAefPcEhO4baVUztgPJ7sxpufNDzxY3DFDwYRlzBhJDEsc4EktSjI2RabK3wvcFTBwk +fgZ2W8hyWoB6Ocab4aJl1mJILVVKvx9spXseya0r3NUJ4WdDngnTmRcp073LU4hnE/3RkjSqPXV9 +XLBBb3NxGibch25IvKJwn1ljZEBcT8kBcTwLCf79jkakqDMB5ylr6GY9j75HTI4U96h9cKscfu4M +NfwRp2s3V07Xt4VR4TzeKwWEgRBD8YzjOaNzMikH5g8mYwDTHsUHGSRJt5xxGsWRkF23W9eMQWO7 +peGesfgPujqP5zeg1nHt/+59XGGAXxa1HA2DoEnnJx3wuJ0g7MoGqZm9IUHO7wuzZkNZxX/nwIcT +S/sGGx0ZbmLt8k89H69KlR/rriEwIOL0ofesGlBZxmfk5oa1Zf6LRxJpwJJGHhoxSPR1heTlJLQH +2W9acWAXqQ6vF9fzSHxUOoA9Ppw/O5QsozIcndHVgtf85PLAS96v+aERNFifO0ERjAxRwfPlOmpG +Oh+z2uiab/sl6pzWTGCsGcJY7Nu1jFUneKCAb5C699ocYaWLagNgQGrp/HicrFKukAC9ND5d03gs +xjAk8ygUueUkfr7aW8wU6Y787f7xPyXvpX8ndT57KjAnKFWZQ6wkSxWO03kKp/+U2fqFwicDhoq6 +msTyIJO7n/I3SessZ7E+iEkt5YA4RYoDOSgRwwvomAKQOFgQ5rlm2v4weqocTbdnVjyrnRDDjSxK +qSoQ7odzPa/w7+xQQ8RQ+7ZI2zwipdAZEhgjQmLzL8uh6wTjCqPj62FPegiWiPeH6kdPxg1UqiRU +pTSmyRxj8XxEBV214UbI/rA347bEs6cLnwOJITiOwLW0ASBsbBOWT94mzArLUI+ToAXSqLJQA484 +4acqOWEz88VaL0xp3u5/QiUkVLtEnkVLp8j25iqjagL71y/bmO/oJ8bM70y3xQSjStQumdurFN7O +NfobOv17LdyqZhrxZjiujoaLd5+7xjpj+x6Hskj4qvkdEh+6OEIqXHdRuIESniqYmRnIHPDkTjMl +Z4quNJHUsx1b5AFM0kDaya4N4BA0imHtfDevvFFYnW7jtaoX/OjLm7+0pE3UHI68/LPfLab/Jlsj +vM/t9lkK4H+fEPX3khDui9aFnA0A2Kd1eeXtkWyF5dF1sZ7w9VSCT+9K4YtOCpNZKdHifZTcxaSL +OvYVHB7UfiSSGqw+V3cnNFP2xHwNGRJthzc0MRJXNugtMuboKyNGGeMiDBZVUZXaiCX5lXMxJ61v +VVATiWb8b/cAHEeZmlFywc+bkCvNozvA7gHWgVfuZhjYXX3iTr9jsZzGUFNIZ7y5a6j6Cthjt4JF +4ECdMUjZHGq0ZgHns317vtGm2Hevw/pCzL/GFKOCAqYC7WICmg8JYjahu26Uwr/RCEOERh5R95Dd +ELzGC+nSWwv6qj2dTN5+SDSAd20E8z/DSXVjlcK18G5FAPWUS030PLK7C5gn7c5UQ8GzGdTcSES2 +TyeFQojGe7mi+Haa7rY5kR4442JGu2cya0RuJeGtO2LxBmtuB8HZ5HmcDK0gKNVDnWqNV3XrEg+M +QhGdMFU5H+0WVP10GrHZL8cSblmjZOKHAxrIM0h6Pt/kGbP70l9GSClD+ytDZfPEGn9fTu1QI6UI +uNjZrNRElMt/Li4X8OnAWEVOphvm7dnMZUWyS0C71qfz962agmpmvw4KbYBhCtXNEH7cQOm0ddYf +nNkMad1Iai+63n6buEDBt329oW8ikTQv3YyQw1aM4FT5FldT9QyF5CCw6AYW+KYH4l54M2Eivai5 +4TZEVwO0hHXDDUJFnGcSQ9sgWp7roasKP/nPbFOlOrwfn3jtJoZ1BmrCEP2WnUXdt+PIFmgVERjg +Zug7WSroH9iy4k7Pm34Irj+G6mUcK0zektDMcv8Y4XkBLzG7pRYZCxET2yTD91CKLKhKaFem2+q/ +Z9oztLWJLgEV5Bg4g9cU3ewTMOaVB96POiGaFsrUzd73ggGXo/V7HNf4Jk/f/WgZlYIfGuGIyYC7 +1Nh6KymmNV6q/BIOrY807z7dVBk/f0s76dGd2myghnqWWS23ENGGgmHUjp4ziueo26hMf5pMVc6t +lzN4m4naBeIOJOFdx1WFVd0lXsWvXrcMY9h4fiYYa+a68853hRKOkurIybxdxiZrjpRtb+NWrflH +5z0PpMngtUg12Eekq9VAzguo5eoW2hVWXcWItNMc3KqFHTwtID+CKyob2NCijOHsOHmizIykSMiZ +gHT8lk2IJd+2/NFU7WkqwQDlnwe3Fna7nr5xp9OJvv3MXRYm6hfdc+Si2RurdWYTM+Zkag1pbH/k +aA1T2leMm9F6DtQoNnKH0q9JPVHMwBcYy0e2FTqY85MpAh7sUYHffqwGcoU/yVuLMyrMD79f2IaU +Nxv9/fcJC7vc9ArL3q/VvuvoOJ38sPnaQ/4/w7grpMWfHPkZYfeuHmf6FcnbE+2YtRQO+dj0jUxk +H8jxk7PvHzJAg/0JPbxz9G1hxf67zAVWZS90DTFxVypHDKxIkIS/b5BqCPK1Kpm5+xKnY7+y4XOQ +LQRS62A+wVisPeWxeIZ3W9OFEijBjYw8y9DhXVLtaC+Dsw3mDklgl+Rg4VDShinWiRJaVCZIa2+t +y8R6xADb+UI796a/gKm1TRdzayeBzyU8JC37qDR9CB14QSJRYUfD5GGoEp3tsM26AoM7/+8eHabi +jzdm2JNP2j9Haux/J4MjIJFxlBQRS1OX+9vB1aUybnk7vFOKYGfENk/nV4sOLCX3vjfVHFgSE/ix +bsQHD5FoLsE8nwbZZNskccXvK1dI7QuJmd9HdhS9mdTPhUleYMR49Uzal9QiIAGivsOlF464y6Sa +34QbR22209VdfdwRnO1ZcpMtPmab7bHHlYzS98UsnmunOKR/uKjVkxEsowVViUUTzNCvWSlZsNY0 +MU4Y1eWvVqM+GsxsLEzRfBkaJ7i70oe5t71tVMeX54iBA/Ta6BbFV2RAuMcMvZCcCIkMnbL1S5Qq +RK3Uh66/S0mMd6B4ZJr/apzdhs2wX29yeyDveq+ZLI/UJw8hrdl+r/qfPAW5V2U83qUPy4FwkI7E +DSJjqG0UWW7E+1ZEC/E0QVfJDVEr0kvtPFZzNE+qD0u5wqEyyzakOgB4+1f2ZNLMUX5NbMArPnOI +AwCIud45Sv4Tzc7l5kISOL0NqrSFQLoPIM+jbjdMGVF3CYdewm1Ff7u3jbaSBSIr44D+c72CgyvG +kHSwsWs2VxBS+3qIqFEILhTAsEGC/PZmZ02GgEZdzutz+hXLT48gSfb8WrPttbKZsWYFMr/clMYA +eQ8MNN9mB1sLame5ZJcLqI8cveR7T/eCjkaZ0dLeJVZDv7IQvYVxiEL8NoCo+wW6dw60h7+myWy5 +Ku0s6Z3sMFalGToHrzDLJvFd1Dr1CEC/MeueHlH5/WGAO8qGi/nylrZrI2b3Hg8y1rwVo65GI6WM +w1nxizq4CYLPvtfrGQoC5+zUYR9eOLcEq5Rg3IMLpBE7SpYQbkkP+BzNy49P2Gsv/JIxkHK9GAk/ +6d7wWqtcTi0OYJVEh2tfVIpr8wURcvHdmReWvgWM8SdP/pW5mRVt2yHBq1zOwTHS+bwRXcPWCDC5 +nFGJZ2r0ij7QBoK1TcgevZFAD3WTsFEpVxV+zwGYNjRvJK0RDaSuJZtUsh+ZmEcYcGIk1p4z+7La +vqIFgdOsGLKroyD+piy/wtyNln1w5IMBKxhfk6BP3HCtXF1KZ5kLlFXHVvmmhwJtsFpEcSqrAiVk +swVVVTlPI6TmaK1R6T12EVwrJbZNY/N6pX7Ns3f3D1AaxlvyJ5lFDfY14KlvNAGqQe9By2WvT4Q+ +uJNEeSz13K6awy3fShv15+/JfXALFglaAIwONLeb/X/fqH2pVYozBX/2TIsB4YZHsDjrzN3NWp96 +v+/170pHEi0txiJXSeuI4X+dvUVm1RJ7qYzdIGeia5gaqt4lTsk6Tq/l5EgPLQiy2blr4Vwsu6a9 +zbGNwCAR58Oj9KyNQAH8RLtmTxvJHmT4By4FOdBVL8eUK5u0f/kv9khEZ36NAuZXDkSLjYvMB4Ed +4d4plZwbflAs+eC/TomGAF6kLdI6uxkxq0RbabdLai8M1+vJoutpZRMT/ehbP+pHOXARiobWIS5D +jnVFZoiPDVLeJVfMSgjFSXAmbCTaUocxY65WJRQhOyof/pSSnkoWSwNuyQvrCYHpD0zhktE7+5pX +NUwYp1+E5rnG57w9LFiStubkMk0FDxS8xL0LRjA7Et7EaDJLJLVTO2FrDDx8ylrdm47Cyefx3I3e ++TktBbxXktGebU3yTfetkai/l6ubyDwnnSZ3HUj9ReFpSTSjwTHu1K60GAnj74zKinJNfL1LdGiZ +dcU4mH5/5kzbcENMmLAIDslKFJ6/lLlMwjKTEQ2nReSjcbBAkpNSY6FVRH1T7lMtx1mbKmJMDld1 +zT25/dWia5EDvP3gp/E1hdpdkC7f7p/civWW9cNl+hZZDMkOEtUF8ot2LTaBtTbcJt9ekQN3jEh6 +TWt4THtel46lGZU/rQ1Zegm6cjS98GgSWQRf31yoU+jd/Ozwlm9HBQTjUqol9A11fS7azx3fzI4R +MtVJjYPGhWfX1avTFy4kwdZ5AKVHhUXqzePQ94oGy1UiUtuJcD8PYNEgWvrhhTNb0mZTmc8q+K3S +kgqu7v+M/mBG//OPLzw5kO0Q8ZR8U20aUJKuN4i8U0zBuwkri3JJkyrucGGOccmaAGajFicT9CkM +As5yQxwiXvxZGf4oIkZRllPoNYD7oPNf0WbfkVhRiLajZmQk+i4fjojlL67j+XUGLlNqGNGKBPV4 +PNLhveQaTDkCoCSZ42rD1VN/5F5LnauYYzoaN3Cv7tzhFGRxxRBOrKqm115n4UxghhcAOltp0Sz2 +jvRkD1H7qZ5aHB1q8yDaxVbvSf/iJPWILMh/PCtoFyoS73LZLGnytRtlcOKDnLfdKm/t++JTkUzM +XrnWKpZZ4zJ1ztJCybo8HfTkOJgSz4X7Vov+kCLat+zhD0y2j4ukDOCQk/LUbXzX5WOgqK9Hg/Rj +L8YfxRHmbTCL1qAhsVtFJdfWofZTiXGr0zkJylWYH1Qtj1PKUIr1ekfxxRZW2mB8y1xhF4cYcQrR +j3Pnv+wId8Oha5Y1EntH3exrvR/vDAIjwvbuBpjJRZNvfR99wc5LuSVkhMEbJwmd23KEe43hOreA +OQdR3QJXHdmKo6s+xMxf/kXTW6bIHTkEqy6VC7Pu/b9wdCiabR+fGMy0DvHr0aq1TX2RVOxKdw+Q +vlRjHZD0jKWzZVXrYyL/lqrkqqIEUtKXuYAoRX73PBH/49ERehW0Znt3YExASe+XhZEMsd1NKYmh +7HPt/cDOyk4UQ2+l+3qySx/lPnkVp8/MXYzgV2ZDvjyJMqspPtcubLWylpcJAd+bFYDmJbu80DK6 +SDImi7gGEUhtUG+IrbSOfSGKoS08dVJIhMhydQG1sIjjIbp5b7+5obHC+1BNBCPnqN7POMeEdodX +ZJe7xgGRCfc8tL2phIR9CKQ4FXeVGueyYzt/mdn+vg61XxHwFgwR3njsWjO161kQGucCiTjdt06P +PktmnZ2awlmTvJJ6zNxwl+1+A7gUrn3UMZeT1Y64kB56V0+Njpo+zji4RKYJL5Tt5A4i7ax2Ob+P +4JZWVDWI0efQoinnZXWMaxM+1rvXVVbgREnXzOQZVUz04nzx9Phdz4db6hdOEzE7FQkVys4Vlozi +Cu05zrws3WKKvQD7qjBw2v2DrIpRExuK2xomCMEUKzKXzaf0wmBs9tXhCgeJB8VyxJsX+TVEbKQP +FRzPgf5m1HKinhmrk4qtJmwixrEYlho1YCBAdQo2eRJujW3Ve2KHy8+cpd/6zEQwvyfE+MVKTaop +ehKthlymChfWD+baIMEHx2NHPHeto25r8REZsKeKNydjLISeLoOjhl74x8PUjoGXQ8DqsO97h/pj +4qtMFsGbyLPPLXKSutv+uLzqdFXMSaWlme744nPriNSav2QEKzLROF3j7u1pk0KVTtE2p9F9k1MA +p7AY2SDFV0IO2+83ih7Rv03GI1LwkQNKbL0ctYwZbAltv34amJGza7R7kDeh2o35+Bgon7TLMQ7v +3Mno+afGgv6xwg5Jb4P1DwlB1U8XvgDGby+shtF17wHrgt3UqbMPpmugTeYD0ro5deGmvUwWEYXd +Xzj2hv/R9icvArN3CgWwQ1F8TkjHXYLsmKcWA41HFz7p31KDGVftl1tbUHYnCGtP71TaoEjqOzIh +jqdDGhpgdRDWDSMOWCbRX8ZUl+4pxJVGVAj7s+5WdxWP4RSnVrv6LhQcsUsp++DEViTVzDbdjSY7 +u7LQcGOaHKQz7aJOS4l491QK14bAnsY+WupiK0zM6AimSFZqVYKD3Qos3K+HkIPN62Kz2SSyCHSm +VpPFcw9rhHAH49+8Tu8/dVzl4qAABOlpHKXBhg6GG3fxvBGrOI1GxUJ07VyV0DVIICLcC2plO6sR +aTFB5Om2k+Y4mA8LxMmxyWGgnW4P8vagb3mZw1fZeIFwGaieER9ENk8D1dGoysf3FUYpBGk5CX6+ +UEzOxOvnz+wz2zRihg3FMvfLAQpIaTlvOehw5PKcK9+3a4ePgnxytPs0pRd6pHYHO9chxKMITGbr +qd4nF4FHhetGwYcltNtHRIDeQqFfd0uxa6PA2yYWeKMiQOvtEH8xhTGZrm11y+Qjx/VdT3fCyszM +hUlGcHtkC6IqjumAyopPcUc6/0RPZJvzgjbLALISYvkACx2c6w1hGFMj9lOLxkHM//EXG0QR12lX +AhNmkrJ/IBFbTARP7X27/IBR4wN1S9LjGXSwbyfTX40Ke2io5YaODVH3ZFNjTQuEbXT6BCy1o9y0 +mXYrhlAqKJDdtQGSxJwerePGiF5soIpeQd83+a+Y7jQfgrUIj4K0G+rV+RV4endE2e92Lxov8n2N +7xtQm83CKo5VNy4jOdmE+VMz7jmVtXqDro/YlJ4uW0IeCmJUwDOlt+clIW6LsPLXHDEQ9cy//fCs +IJrktQMKcQUDkQVMTEl2uknQeT5wZhhP0iKOZANkPdzH1Q2GilIokzOSvA0tIr4qJknUqFgQJE7N +MMcwH9NdGPFctjjv954lkgSslAM/ZA4pwtZhoCdFIXJoOIamNcTQfL4iAZeQwo9rwJ5d1Ed1EcZd +nn2f6pJBjZHxdU8MTcflEvYUkO+E3eEvu/9GG5lhI2V2Ut41e9bAKRFKsGU/BB0UX1RPo5zc5EXY +I5uewev7OfqDfORhE1jY5jF5wE0ehm/0y8a/JDqiFTl9x6FDW2Ppzqtq0yxvHTIi2KQ9LcFQEDFQ +niSiWiiTnR6BLvvvfrq0AKHE77tO0N1cGQ8TYcvrU9sFxGFALWmLD8S7DJPZ5GQWj3uWN0qnajhq +kZFkVB6gjlHXoUHJN9sg0bPx1G1TFqLhK75ss8Ujq6hiya/y4Lk7rQ3lPTzwW/Scv6AJhpArinLO +03KNaj+NmitPWQfdZrtn3eKYh8wHpYSTInHMgaVPTHGaUQOyJrWe8JDFDqgoZX5vw48luahwjV8D +kKRH8Ax+ZlgZ7IFplFe1zUvwEPJIVFTqe0luhERLZl1W2c0yT/bXRzLL/UKWbou6oOgxpay9kdPF +QUgVvrDGGEaxnBHw/n5RaUfLYQMmGQStGBNL3sARVoPPQJrcteCL+dDPz+uKJ+r1vipyZddfdZKb +pxwwFtIcXa2gcOA5UzgiOIews6iB+z5eokYf9xAx9RP3+bpVSTPAftmK2gnPtiNN18sAzAxJ387D +y0RF3s2UgqibgcHJq9IV2JXGtiUvoD9X41TU7DQ/0I2Jdud6NQfsSg38Me0JUF1sRejDffs1D12g +svf6WkL72Zo1joi2AkNFwb0kC/50h8p2R4hF+CPfMcHQ/ypMQd4UrDccqWiZUoXzPpeebkmiRLKO +Kg67H1tSDIznla/YHeJVln+/e3zeDTYAKDVpYOzDWRsDztH8Tppy8r2ycRs1hpQf+SbIjEUCvrbN +b4CcZkQj5nBwFUIiIWd/b7xyjDeBow8njmkqXQyogCPmEwXDev0KBU6nIr7zdXWgD08L1FBhuK0k +37wjnzHZgFCdV8ZOBnxOfJ7AXdXQPd3bxEtmlw/qrU4ENoWWpK4X/9M202mxV4DLpk3D8lxXUd3a +aTOKCxgWxWsmwxlUMRKWnbdrGQOpzTSTSKSnwqNI1UFaz50o3mhxWAdbFPxrCUu8ilUdtuZk8YLk +cNlDR5IB2VvKTHjvmP8Ma4E1VxDvD3ameCqYWO69dXF3nF6onnhHbTeVHb3kYV46XsgBia7p0Vk4 +RIexGpNJPmXTMqkbiFjPRDNOOc5x1VIlHw/1NZgdjFLCZ04MmxdOAumzXA31rgzhtvTMz1njew/S +fn92RE2DS0rM35VT4cb0TYKOeSX2w0DnAGamURtuZ9UAzHLSJP3xvKceX/S+u1B3ViKdqgpSZjGH +OfX6lEgh8UY0bz03bbaowO2Dgro26rrZp0mJf/iifn16Kgocx5J/nLU6J5ypmWRkaS4uvnCMQXfc +F2InuzZstlrAdMoR8eeCYqQWbJDTJihB0JcnPlxHAmsWAtbu+KCKGtv0UPnhEhkjx1y+XJ+QDggJ +Sfm3hg6WWRT+MRnQ4+4CEoS0otQyrcX+VizJ9iDOXLb8fPxidw62RSoCqZTPTWkzEN4DL6LEWp81 +ts6DXmZKOoi7YgKUaADvMxq9s1cKXDb1mBWmW63U3PTq0ctw4bnszxGIFZN3VgCUrJlSdqt56i9S +84JyKgQAVdw39c8yEMNmDF04H/jQflQJBqtFH0goR9AtimfG527f4vNZmR8gEtzaj5uMVSveVHI1 +Zn3dWyvYYDCbXROFiNeH3LCVySKzfGGgxnYrg3c1yiUDgTbl8mFUQNY4EZRaGiw+r2nCwIb1Uzzg +ytRG/MjLL7AjInyOVn2yg14hTUwGaQS6kKyo1ICMu2F7N4HbL19P1Qjf6X/VnaX6zNC99kqGf2k8 +R12fx+s7S2SAdZRAbP1KqN5MTjuIrTW6UzO2zuaY4QtckqBI1OsbhYCQMJ4G8kXbYcV2hnCRrxi9 +BMTifpX9rqMdd63YowSixxT8qLPYzM4KzBWHhNUHHppCWLoVJBe3ymeI7Nhwz+vOSNFx/jozB1nP +0nBQI85fkKVcs7ua5VISnsVI2z9PwuS+IqiO3wFW7KaRNJipS132kgTkD9+8e+xoL4iw1RAeyf7I +n4fuSg90DqmAQM1bjkMCu59njol6hVWfNiDPBrvkPKncde+QVzZlH+/Gp08lmw2aA0CCMg8NwxLs +QoCZ2JW1pVwBjyhfdKEXUFtvivmkll0FsLOb+kjEFaHfN5xH2iXh0/DK5aujqA1vSS1iRxjKViSv +z2elSE5dg9WMbKt+Kfcng3kfctoG1HuHrdaRK7lEPszr7o9JnH7PF4UedKDqkyZPLqkeKe6Nngwc +Pn61ilHt47S+X8nqQUA2j37S+4WSPiTwfGzHgAIEHflQI3dNPIyhqLfFHu7wP7mPIOCuIE8kNbFT +tIxNpzy95PC7J7Gzc+lIneiguPFandgxkP3xTVkOZQuKICDc8S51LVQY5k+9rZxp0XQFdjARFbgA +nm0NTnfCg7A7pE8PzQxkUpExXLX3KAMOU0MEAw3xKGU2HI7Yuxr0FIyRtSB91WxnxyJqlX1z0RuI +REBr2eg5gHiTOD9RrcI65eb8TEkAwrhXIXplgLyHzO/7z1pkpOnLxCS0xCkmYgzyjvNYg+yxQHGo +FptBAoOMJYi5cMqlQ9NDesd17Ekp5ATmBTkEGZXC3g1kVGuwm6Pp508POYVYTNNhC7ej1HXpRXf8 +eoOv2/48j7RvZlK5BE8acVtMU0DOrnLAMpAiNqbMobJ6co1e+EW30faMnZ3AR2KrJEFASDOFb6nx +BSFWADzNqCxf01thmggTp3PI8Xr8MzwOF0vOjdD4XL0GJHouoD35mT2w9ZH4rOFSp6lT+H5K7dxx +4ZCpa7NDRsw5iY/GLTHE2nTBL+RIQJPssq7XJm/idvd2IusytuqFL0mPLaifdeSKs147UR0pmIkH +OORN7Pa6VKRGGurhPfgidaNXJ4Lx5DUxwdqWTZ3ddRXXwR3EKkon5iobhm1tZpmgJaGG8FJbb6Cm +tUjMyRN82qZNUa6ihRS9vcFaVdVFySXML7+U9U3G8q7S2KzD7Q8q5vQ/30fPeob9O4FvGnoPMMhg +IjjyFmnEN21mU552UAjMCRHvIH4t5vScrAdoaUNBhx9OlSHGN5vdM3eqbtIZyM3c1K44mb9xvocF +FwXUikw4u09oTVRD6hJDZwjGcsE5495AviWtqqFr7Ndc7zRxhWi0f+Uq6CbNBBB95ELciwmvYqGI +VGv70Bv/p7vkND1BvpWkY4Z71BEVuRe12dC0Q236JQBDtjfQDWXs1za1Fh8dibYQC991m4rIei5z +TAomEoHVihSzo0vPzSzfnUOvF09sOKXPY0O/E2uG2vBIo6HqfjPkkvrl3NNZJbjJ22sIldwKL8oz +WgWDGsHCGlKMf+Ed7HjNSr4vKJzfEYWf+MSKkE0pVnY2evGHbBJvv6dHSuAj7S/WF2wOc827ntVm +FL9J7ww04SE4MGrIMxNTIv/eox2/hmEKZNhN/tif/uSxHFvqptLsZQ/zXS0RYHEIz5ZyHnAZz3LT +PDpVsbbYXDQQeWNv+4BWgQ1WDhSNfJKtTki/GcnXVAoyP3f2A5KFAZA5Wq3f4IigudQBWltK9O6x +TvphTcvPSx6004Fnefid0WZEY0GIrsB2+yZSAg02M5d5AlUZnNh05dmKzagQEUDfvOawGesxb2kd +JL8KsDvc5QTJHg49P7PvuNrJIRS3m90omnZ5b1SnTkgFzvcRO0UWAhs4MO1ROa2SuZHoHN/OZEqB +i3VTk1P/gw39KrUo0jpAbPAasUYud9rX7wlqsHHAt7wNjY6yZ6NtE43YHIxUN+DYb/M3Aaclr4IX +RoF5j4er2asY49wJaHsUnLs8Me0T0StuMd45cRav1P39DIhJ3ZiGuvG+6FZ9EfCY3tEybAczfFAl +1wfsEGKZgnSqfKVAV0N4aXjCQGssJiv6fL7k1PKNeM7HGswO/8TRWJwxitFEZ3TcTjrQdzPfrtTZ +Vlb1NOW+XUgJ9IX0kqWDMAc+gTnFrenrU2VlQbn1LpKG9lDWznkOJgFj0GUZcM0p74dcokcEdB93 +gSWZDw+ZCEEp29opzubV2bgFPmuUcypXnTtVYHeMF8wXUv+6N7Tfsvo11BImcLvVOmDy0nurTn4j +FohAzngTI8M17MgpeQ4kRWGKMXjHB0I0LpWF2QtSWfysEn0YUOpRwIMCmh/FRH9z45ON0rwLmYUH +jccsxCHVQKUmEUqZHdk8/e9BKzliDpPYm+6rCZ5jwxZWYufLQhcVnf7KnTfLFC37Sjs+x8QGcj1N +AJuKNtWX07nrpZyanycW7AqRnOLotM/+JT7BypuRqLKOetnWASb/mWhmdPkFOglcTb2ufddUgA6j ++eDm6ldlMiwGyv8hoT8ZIlSC+Et3+pYyl4qcW4B+x1wxI4b+FsP6wGSTS0tq0BwycPnggaFAGy3B +s8j8uTmMg+lU0mA1a45WIXOi3CJ1bNWVObVRTKEQC9mmYkxzxEsvxp+RuiwMclDVrSNovxPBPM08 +Yt2m7r1Sj7L4mHS+KKDOs9s7QWfcqMLZaMr3/SIkGjlM225SZu4cjk7Vxs+SLsfDp3A/4CJS6mck +O/jVAAiU9kzuqXq/yG8rK+wD0Pp3BJSSoGMS4899cxD+Gf10gDeR+sKl4roomZN+Actpj0idF/hw +NiEWIQiH++pAWbh8k6lOsxSZDjoiVyatEeiSHFggE9bW1xb///EQcnUuCYx0l0hCQ3Zq9TA7RHYO +9FlCOHgYGJo9O/BIZARNm78kGj+apAQfTnank18wTI8qeALDi2PPOHAwVkMAGABq0SnDRIjmgh/k +PnDayHIf/LgG1ZpLm85Ph0PVRXfaqyFEx0k8WMRVONGDwaO8lShZZXi9as9O7DZtuwrZu5KUJrg7 +T9HSeECOOuSpwNhb1ho3Aj4P7AJyIjrK3RKsvbBGqCq8YCHzekEfj2eJoNJ/OWBzx5YGYFNR4mkB +tHHybzQAyy+PCxOwWZZZyCd8pyOXCUi/X64JoxgN+R5ec1XEZBaXpevej9J9QgpvTS7zdgOWTXDu +lPnD5AN3lOuRvdaNkFY0sHaatsfHJj9y/giuJcEUI01dGYMZEvWjRb6nuz85whQmg/yR5dlcYz3D +AihyU4ijHvwkGhs53OuP6FwnDqXjDvRXcUEHzk5U36dalIUbFxHkcBSl5lxd1O2s9qoVrlM803U+ +tyR+5TQHzh/M3e4tuwHSybol2E4kFlFXC8H1uPMFkX3uOdb2gAW6u+8FS+0E7yPgg5jQFT46MaeO +pCIzdCqSLttnzrKN620B914lz9CMobOqGOLOrTchrYolfX9K/bNCYCQrN+4i6bIGBD+m3LAgkJzX +c+gIRSDEsxJNzhmf1wQJZ8OzusYX4NfNMNogyRpLcqsD8/Vs0a3WvPcOOFuygfWZMra0v37GZTcC +Vr3jHn+YmHcz/7MSNFTXSxBPpMH40Aiv/Ycbe+lxnUVD7NqsWCyrdErAHamDycVHEVDm2QeMYm27 +XuNHkSVMeQiz8DFIOGWejbG/ORulaRVEKnWStyUAZetJH7XWJPNdA8PzBfsILa4ezlhs+XqeaYah +Ff/z5ybV0fc8/Uu4WGcl7QMhxtg5xz3t4fU6IHRrsxbEpXGRpG2b0FZRE8LzFc/gDa8k54Ttyc1y +mtFzF237QWrGLW7gPmP0RrQmRfwQSRdOjEEqIB0Q4cxu001ix64ZdnllePKFwi+Mbj56o31uUFfc +zbgsqxTQBgPLcWq5ADnVdp00EaMk+wYolACcrUmurXylFUTZ0yzDmSAXCa9C+1uoW9WnfEqoXfNT +2AQy9F0m8ZPcHmnBpOK4prvQPAMvDHSqHupagSJX0IciTT6QjYqZkK+lnSVsCdL3oOp2/YkVcR67 +yGhkzOggENsBalsthlNZILMW+BGewsBGclZFktDr65PsY3x6+svhTrRLQPH4Vinj/SGz6GSVHXaE +C3ec6n9RpFi/et4UJb5iinvm7nD/v2EgSkhJlHjJDbd4ZbfTP6PsNFFlqASALAbKUZ0jr6c6ZH0e +Dp8KCnSHB6nFd9L4nmgi+oOK/FK2iEYNWVATfav4RCvyj1lMzyviiKVbIhmZH31OgLle6260+d6Y +HWMSlA/YG6bHBnY13dT1NlNV0noHXDkvl3vDcO8fP75sTI4p90w1UdPYi2T165oZkjwsQLfnthHj +HWkxf+g9mcsjaF2+tX9mFNH5fp2CAy40ePbXSdF+QXB1lKAQ7/B1Q3ztem+FdCsib+JVPAcOAb6L +LBoTac6VW+nOwk+Z1moedC4gadDUUC+X8lw9U3jxv5HIiUJ3aWpGedroCqM1fa5cRrguAusC4L/w +THq9mu8DuPTfiJW+8QCzkHzigC5xO1Noqt6+kQWUBeIyWYasBALU+Nkw2n8zl2YqPpHcJ+ewSTYR +zBZmbBt8Mhbl+REXv/ENBXM5E6y0Txyeuyu9Dshmk8USk4QH2lu/gYGPZHxXiuFwBqUSwDkRheAQ +xKKGT0XuP/KCWEJ5qisUu9z7RHLuOCoe4R+dAUcQ8I1L8ASlArL3GaKUzvSTSiAJqOhSuCqOj6IS +jdlFhFoEZeYxVMY0COEABp0lR19ZFC7CRwuZKp4UGvUVwg8JAi5s0TgbT2P0F4FKwLeUcp54GGqY +Q/a/c4dqd4pGF83gNt6wlHSS189ZGrA7XC6Klz8bmfUxa7VGaUDbd9FRPn5VkxNvRSSXxKJneELf +0vseRxnC/KWwDhAGYc2sVE6DusobXIITFflGwJTo8F3I5eTHAvPqQYKmvzccReIq4p67LQ2KYA3+ +k/5JMg/IyBnKWnLq2OW4ogLaQZNOqRwPJYK+uwArfXJ+yxm7DMZXtntujSGnlui7BOYnzXsXz4d+ +5cyDlDW6GLyFZlO1sBinaF+ryPMa8NTguOanUGXCJIoAq8+Z+WKUeou0vO02e8AFp9KoX05T2axj +i6D2IWwCBYTMN651iZcJtXnVMHy5rQ0uXqt/ShCnQ3rJ96sZJv9XAtJE1HBSZR0Opg9IyLS3JAW3 +W8uLW7lM1Jvg/SVCKwzgU8Pg78LutUFhBoca7oel1BYgoK4lIH5+QB5NS+3fhFDsTPkov1T2/RaQ +z85LOR+Zd16ZSC+5RS1UiWaHvbAeWA32na52GfL5nQe3cg+1Z8jZTORVYHFQazjj7/OdbvqZNs6X +uXPNxKfgo4jBVgYxZtyRGARBTYOpyaSVDwpbPIPChEJXDSkstBsiZdPXCY+fR0GJR1YmKBF3mEPb +R+W4dedDihRnCUPOIsWZsBGyaIIDtmRy/SNzhtavdpeXlcgKIpi3mOyD9LINIjyug5RtX3msdWwO +GPaAOrOIS8xqB/f6EnhYJrkF1LAuzXJd7nCkDDNpyvmLv/6tuVbSeqkUZ/tTiDk8dPHoDML0efgG +qrw72Lc76s/H123pCEqeFvEwzeN0M4p3g5cusi0aQQiJcw/lt51jbnDmGMlFYp7/Z6xIYuN1cFPf +M2MgPJCFI/dMOkO9n7cmbIc7GyNlAk5h+voDvh7EgNNvHFcwAKGjcgd8uELLoeDWtM7alSLHl30+ +BJ73fC4MuS1slUmiNmbDjgghwp8PbW3UcNNFMhZhCFouZ+TA/bJcp1cffJt/tfoCEtHQi+BSF+wt +FjuAyZfI5oSHUsIpGMHQ14tx1G/yxQTRTpaN3WNewW6W2DarGP54DWmvnmJ0ROVE1CYP6knll7lq +BLgNCtPoVrob1qmujri+KImlZ8ba2b3ExVut5g4yGgl2pKiyTDyuJbUQIp8vXpOQBEyMO7tkQQOr +0zoYB+v37T3iIJE6XglwhXnPWuGd7iB+FhJXf3xla5ruNZ3TFkWVnioYetaPeHyOX5XgNS0Clcyw +B9tejZfTqC9gaGhWgcU6G+gUCP04mEBwZobX7+hiztjV3cifx7MM6l1JlNTnXE6EXjbsa5w4zmql +HRFs+qCcaURhO6La54a3gy6u4QqET9+AHD/O4R2M5b3WUA4OICb9h3Xu0Z46W5qFJyQvustIvQyi +iBbZRDAcUjTFniosjaSPrZD3s/YQ9wKN5H4vNMBGEcf6rXMfJg0vzNWZ3YAKEKdGqfeWT2deRZBT +K1VpzxMI2CjyBmK/x5eCAjrPFvaGTRWNqCJiD+TXkixrN4sg7F9voBkCB5bMxEk7+0/gZBxeDcgj +CQS0klbIEWzK6ItRTmwzc89w9Fz19u0oZ/g2Lwui7uviK+QKllLEbULUe0Fq6Zjy7nG7ZaWjGhCq +kweIXvcs4wHSL6oYTCTmHSuEk92E2w5CDA6dbQqz7ZG4oxKrAvfzzC9r4/ahdKRXQ+saQ9DaMmep +sn9v76ehaOcL7grhXID/BWluYIkkKsy2PNw/O1KCva+0wSe6hcLpGuvUabg63kdc9+rLILYFJOtO +m6TJcAoFgGytMgV8aZ+FJ97iJemRKSdtU6k2r4d8KYAdL8t7h49tMgD3xhF/BqY7Rff2dfhqI+hJ +Yk/4r6at7CI3HZsdVrhnelr9fBUXU5wZGAG7HHDXvjgHnwGsmaeg82EWyXupESML5W1nfiteUn4L +2I0NAAefVTOEoKsis6WMX+tIuyhEGapmq289NGOwg4f9b50GrFbABHMTTRBDPx8yNLAmXUvgVoiz +mQg5al4TsuShKkkosZ9r79poVtaBtFeOBGd8JwsC627E+xp6Sq06PKn66Fk4MW2c0YmrUVKK8u0s +bdgn8PTOmWG2B/NfioF9U2TRoQhHrzYrP55Xd/R6YCXepK+Cdj1Gqgti0DazMk0fDwMjxx9snGaa +d+/8yIGZC86VyiZ/g9JZFevyjtiTKWQGJi/PtFfUaTUZG7KhucTOqtE/+1zj+EoEvMBQLHitH7Nm +lBu8z8rLGy/iYyJgL2KSQHKt9n70eh1qwL34o++5+jJEttNgKHReAHhYeSczLXFz3zcy+vqM0Pjz +Oy5l4Rge+FkBr1dDOvXg/W8cTqqHVG9M15nrUb6ww346LrAqZpE0WjLnujqSBHVN3pXCOPAjAY1v +uBfP75fzk8QCM3rxMNUIyKMR38xs29q42S72qXJSP/uI8CpPSCFdBgU4CMlso5E7pB2UMSIMvCu3 +3FTjPWQGG1hbrbSQ7AQ+ANKg5DudbKD9xI6zGxSr6I+v3X3l8ClU10zLbCeFrkzQ7vSWgGwWGFH1 +Wo0y8GCGECHvw7mbWctvYa2ftQnvUBRNBX9vd2/JRUKqiwKFQCd2vKnpClu6fuaQDI0Q7lcczLY9 +NSdOCroHdpXM/OwCQzMPAl4eSQJcwhTSsvI+kDcZ1POatWu0eIHCkyQgBtmMEw9KXOvRRYb8Yb+y +fSxSsy1QtozHxBX+JSTm2Tkjf1tOuFeb2P+0Fry0UHxVuB2gFMwMmawmNk8A5xq2MdRLcWVUcjxg +jZq5t68TgQ3GAYvM8c3OXx9/CqT6zdGk3vtFzOsrl0ai309l1acTb/epWvYLWuoXy7q3sEUo7xUw +Q1SfXpxQ2UzPAIHrqHP0tqCMNVAGKAQny1LYv76qex+349xbQrm9AGPnJUDj8ltHBYwwbYnfYneU +RzEZII4/dNqI08q9tEKBHgdMIUsD3SIr48tH0LkeRYU2XgKQ7gWguQr5C+F56TAJC+skkJcc+ttn +MpVip3bSZLt8sYavRgyRRdg/IuV+wU3jpG5UXuCsd0h8vCxe05wTF5N0/qd0pPPxepepIRMb5pP9 +nWh7UGCZanUwHW4YOiKoWSnHMg9ot1QjLNC+k06RfQ9afjGt7vJqj5qovoEeQMTLlEQIRfOkMxsr +s6he5dQjdnnp1gBQDSEDXH3nLrqTB6f1Vqw3IplRYUggbueulPD/4G6r7QeqhMxPdsurYEFc+AHh +JexFyRB8nju1F3cXIzMRZzKh1fg1J1C7h5oe2D/Az2kbpKO3GWX3WuzSLi0OmJjViXqkFlNejLL+ +0da4+MYQDnjtMKlVwk9nHnLgdVeo8anZtGP0Z/XapCP1/11WW8lRm8gugk2X51/F5pV2Laac2tKY ++diFe3I3R2PSYmoubt1CWq19suEQUxVF4nYwRIrU6YHqLrFV86uqgAbC0jmT8q6ckmHz/ZqeDVai +x68pJABEEVLyeLIRwY0S5BUq/X4yu2kb4nTOHE6J15W5+RgbJWeseJsibSzE7F2az3ppbcG7yrZM +zQncnHhJMz/IxXWZ2XyTqj7B6W2VlcYLZc43dK71XMDmnuyo+rQl97lYCUeuX71t/H8T/+I9C3+q +wASfakQRu9gnugu4qvcKDgDnUXZom7jOOTb56A5W9jGCeQSPkND3Gdjapq3+rvTxuUhBQWv8Z59R +t+mXwx+dlusbvSrt1/nPRyxu7vr86ytSNWn5x2UBQCA4SGGesAL3C1q2zYxGOcFVpnOZFdoVojl2 +9jK0iUxzwyfJMTo7Ifz88YI4tMXQQUzGUKWoxXbi8b7RKo7B2DyAraX6DogwA5DWkV6Jt82B4HG4 +Ccd87safyNlUv9LbZPbKl30hLBDHje8R/UcXXXqTD+gC+fbmafPZosZ/1ym+OzbC9/i/vc4iHZ2M +y+f+CJVHXVIqgTsSg0RWOqRza1nov3vhaSL1b7krlczjvMA/PHpykzEIP82py11KETZUbL+ck77C +JZjHvp2QCT0oZSGxisvQpvUjMd5cxUQw9o7mIMpWTwYud2DANJtEeAjqK58OlcTv2moiVRKkvLqt +sg02AFzU0NauNP7SzbiAV6va7asFhaNP9ADU1meFKNFaepuXYmcKHmNBwWKamEpZcvPdTPpzOIm+ +rCYtCqwQ82k3VtY/fiQF4GI5wJvWb70PYP2jHJVTtqgV4iSR6MKiq1BCYyNq8ypMi+zNW5ai95WC +x2hZxuecJ+Uuo9Vixz2v/aOtX7KZXj5xoZtAP7cRBSBJ2q5linDA9lq56rzrE0KkNHpnPqLS873h +aEEYajajNOvizHH8W3DJtYen/N2s8a6kdCrBzrHJIbu3UO2mGPjhR23TjFvD7klZngQWsgYKCHBT +4QlwabyU1arnVTxTO1IZxRP5rHS5k5nVyWjXFNoq7KUS6/SHbSta/PzoIx8VR0eHRRGy3v1syueI +m/9BpAxszMj2/+oXvK9OgyhPUmG+blIFmqIpngOBfqHkyoSJZC5Z6p+rZcRBEPlFBm29nJtYO8C7 +1+Ks72pv+QBf13gFf5TQIFCQulX3ULFh3SwHKWaxICyGyWm6wjYg6ypzZFWdBOUbYIBVDmyAV45m +bp3SZBV7Tev4aFq5Ziiuw67pNQQclMIPEeTNdyW7/vMWEg+wUP9M1PdF1FWamP+d/Eh6A8hpPTAA +qunEDnm7jSvw+cTCGOI0jH/4ZAXiic12vXHjvmY+q6gVM+tZYAaugCMfpoukEwGkgrAz+Mev6K63 +SEvc9bF1IdQHh/GkB0LETMFVKWbBerv7qotPmEW/iYY7/kaueHgbum49mvCHEFJCMAaIytD0U+Ul +n2gWkAOjrTBPjta2Qg7j8Rs5KPxQodiH7uqU+3gBKbQt750bmjCl1dtnygM9+ohSVyU+2Ext3Wqu +CgjgTVE2oP6PvJ6Jgcu21yXwL60vIwH/RwE6sawpY7PiI1WqP84Z14CnjZyLXIke8djubobIPMRK +SXgldWjolcbjTmmiVvz3jXgX3fCjQy0mm3V/m1FULhcJOF5mPdlm3mWVfU/XKpAmnlYW0r1sJnX8 +T3OnvG6+/RbtWnCxpwZl9ZwrhbrymwFfJ240GggsIxi+M501Bi1qSRVLvQ6t26/gvj95/emVnuX0 +8RAuUlNgo7YHecFc/1hjPTOxZRYd9MAUEABCL44Lk49d3mAnK/efIz0pJynlZ1KNJqp0/7vN+Osm +ezbEuPaq8PRY7g+xwMkWgUspjvDM70f1Gt9GOSAIhLhvi73YldTWuwRmRyEVQNypKvA6rD7PBMKC +1jxfzoRc9VljvYR5g292zogOCvYhEwP5CE5VOLZxOxhWdtS9ucZ5R9pEPzpIpS9OqSrD0qBZVDjR +9dJcXbPUWgNBQqQgk85m3Ol/f/CgryTUEkOKtRRT5xM0nPK0ExA+9Ax9JJjnVhl436Ou1OCTlaAx +4WccJ4+u73TCB37j2i2jxnTiL2MfRi3Y1sobTfMUMhvF0M7Sr16jYqgviLXaU/YRKr33ISuKgpcd +Uv8UQFJTIt7XY19Pv/FGoBX9npNCGVUvSyij6FcgslRxMbdtE3QJMbzuOLq4I62rfNGcvw2HJ/w5 +Dsf3doZAh4eD4OnKTm+HrmQOa4k1IGpcaECz2jGzSzhLZLL3UVTiv/PXTVj81pAwbBOUF8OuwyjZ +m5HDfmKGH7hyKOqtiQXp/zeLRymcrE9C8/BI29EICkP5zLAKqDZ7xLQymEF9eE+tZQGbKrc0KyLD +RzcGLJJcIRO/FPmWWANsF3hXAZbZo8hrpG6vcbVirldd+lFEfHFPNuIb28I18tOrX31YKJfao2ik +82kE9pIYVCKk+g9r8u5vEqRK4wBZ97NM2yYn2D8UjmlA8x61GUsEfWcXddZBg/7UoSghrEgOlKDE +7/YMOlFcXnAQ5z8OicisAbbyP/sW2LSHmSqSuz4f3wRF3Gec9Q2kHd01AzgXXM6rMcYKyJCb2Ces +Los/CunV7RNpx5v6wd5o4HStbIpF0M9hV1J5LQmsC4rISPUJ8GHP1LugjSzQrGD6uKwE5aYyxmKE +LmnbIxmQuAZ9NYYp6MaJ3dhCRJyz6BzDAwN4d2JuEEXRfcGTb1YayeZSgFL9c8bdw+xY/Xn+ws/Y +GgLstPM5XXHuhIhtQqWnfwVnn9e2pdY4NQyo4cjqizlC1fimmyx96juHxXiacsLuDVFpL+Twz0Nj +DUyeTWgNoJ7TF7nH0ONPzyXrRDsyAkk9LIc/cLhwHZvvDfqzeXTiCNHs+9xVsQnK1k2mKf1sCTSY +oZZ/7zGcGGZb2GPmbAH+gXKuro3lRTwsoIaXmfp/HLxmu4aF3muiCF75d5N/SXDS8Rkk6/mI0p88 +PD7icgEnmKyCREHuZQ2hY2cLJR77BJC65VTGmN57Q/bN1WuI3/Til12UoFZbOj9ddKpic3U4peVT +WZMRAwSbzHU669sVShNCZf+gJcEqECqbU8WEXAnea4Mcs+lRBqs3j6C6q3uwP7l6VA9hbrOjTzST +gn3NCOgN3kv5HM/yPetc2hpRT0D75DMrq9P3wUlIVh8Ey4txS5FGGAEyVh1ZF6D3PMgW1u6rGEGI +BGCEUwHXdCUCbS9DNS7Tre+K+gLdYXXJO2fYh+lfs0VVXJ+N2umqF6XJGmrDr0uyxJk+X8C6ELAa +hFAIseF731rlpXmgOqd+SKLOjpwPOABrWfLvldL1a8j6jOJXEN9wK3gfF36kN9UHTdog+aku0WhM +k4ja9/FKFFdtKPTaR+vmMKTyLT3QMLLZ34HKiAtKmZT7U5+Nccq7mt+1txHO4yXJwAR2Sn8XZJCt +jhm18qIlBGSQZZAtn2nM+31BVUDOvwXOXSSPIQ62OioImUkzOGMmegfVC/0WEJ2Bl6GHoH7+8DRm +SJB0j8Doe5YwuJmuO7b+qCX+Y4n84laoOG2OrQz2nIGkhCPzUuDSc0nvOdE+xnxkBK9o93uU3XNq +eHoXRwBO0b4G995GFLysD2nw/8Hx3caFC8B1zK+Oz8HoWCS68YpcwqXPn+dEr62+lGSQTrU3b65r +Qx0o0TKX2PKCvpMsqakeOY2vEnhdFhb+O6ZRvmgi3OZY6WSugg69YphlWddaLkHSKE1e9YIDrCFM +2CSyStjTq9XhMSPW0u0Rs7r6cWlseP0ErZkFVA4aT29ZDCcr8W0KCUw6dkUdyn1Z4axtO++AEiu+ +1do2XMh0BFeT/NvfmYdcIP0UBDtKUxFhXN8wAO83RiCUFjcEOn8hqtYds7VnOCfdUDBvGVXNioV8 +SmzgRUHJdNgHTWOrPeSLTjfnFWDVHIQpwS25VoS6sMHP8CRRfldYWlO2IgJFHw8n6P5nxqVgNyeh +q5mzgQ5gjgPObLgdfP09sYMzuhLkaGzgRE2CI0FEdgAnaNiRWQEfM4+kZ6aISvTCK35ZA+2Q9rh9 +QtrkKZy+0kyO6VVnLmT4huMtDQRo6D6MCFDbM9qNmNhcmuW4mBZcqE4KHEC7U9lziOIxZq3r0bOW +3cnzdblaTNEDf5azNvoimEuGC5IAlh5MW2IYAiPeii6q9zdX5pG0F6eQOOTQ9AbWpSU7gQR4mCGO +vNNAw+piA9HGXqB3N233G7eEo2aT82FRRBZcl2PY2frAhS7lO0eMOygIjVRbkmi9ije+EC4qj9Kp +8pkwAZN2N+w62JckBnyYu+njjVB6/7y8AZfSTps1gdPlwHfLCJ6Tz8N+62TSsRghW1BAi0oyv5zn +aeMK/PgTEN9sggIW5inCmnD+/lwOFP0yQ67Sba0Ne6Dx8OfwfaysSryvgbgutKipxQPp7JMroXRs +BefEYG7DyIhIK32/qAyuQAN5JLoQqKAyMaB2/2PBEv0ACPug20GVvzZY+TDX/FTcVT0/zKBxIfOo +vCwOFUDclvI2W7mLHz8+tYXHCVM1x5+36PTCYFnvU6jG/8SGzqOgoBS8z5TCZ+ivMA== +`protect end_protected +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity bram_pulse_definition is + port ( + clka : in STD_LOGIC; + wea : in STD_LOGIC_VECTOR ( 0 to 0 ); + addra : in STD_LOGIC_VECTOR ( 9 downto 0 ); + dina : in STD_LOGIC_VECTOR ( 31 downto 0 ); + douta : out STD_LOGIC_VECTOR ( 31 downto 0 ); + clkb : in STD_LOGIC; + web : in STD_LOGIC_VECTOR ( 0 to 0 ); + addrb : in STD_LOGIC_VECTOR ( 9 downto 0 ); + dinb : in STD_LOGIC_VECTOR ( 31 downto 0 ); + doutb : out STD_LOGIC_VECTOR ( 31 downto 0 ) + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of bram_pulse_definition : entity is true; + attribute CHECK_LICENSE_TYPE : string; + attribute CHECK_LICENSE_TYPE of bram_pulse_definition : entity is "bram_pulse_definition,blk_mem_gen_v8_4_5,{}"; + attribute downgradeipidentifiedwarnings : string; + attribute downgradeipidentifiedwarnings of bram_pulse_definition : entity is "yes"; + attribute x_core_info : string; + attribute x_core_info of bram_pulse_definition : entity is "blk_mem_gen_v8_4_5,Vivado 2022.1"; +end bram_pulse_definition; + +architecture STRUCTURE of bram_pulse_definition is + signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC; + signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; + signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 ); + signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 ); + signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); + attribute C_ADDRA_WIDTH : integer; + attribute C_ADDRA_WIDTH of U0 : label is 10; + attribute C_ADDRB_WIDTH : integer; + attribute C_ADDRB_WIDTH of U0 : label is 10; + attribute C_ALGORITHM : integer; + attribute C_ALGORITHM of U0 : label is 1; + attribute C_AXI_ID_WIDTH : integer; + attribute C_AXI_ID_WIDTH of U0 : label is 4; + attribute C_AXI_SLAVE_TYPE : integer; + attribute C_AXI_SLAVE_TYPE of U0 : label is 0; + attribute C_AXI_TYPE : integer; + attribute C_AXI_TYPE of U0 : label is 1; + attribute C_BYTE_SIZE : integer; + attribute C_BYTE_SIZE of U0 : label is 9; + attribute C_COMMON_CLK : integer; + attribute C_COMMON_CLK of U0 : label is 0; + attribute C_COUNT_18K_BRAM : string; + attribute C_COUNT_18K_BRAM of U0 : label is "0"; + attribute C_COUNT_36K_BRAM : string; + attribute C_COUNT_36K_BRAM of U0 : label is "1"; + attribute C_CTRL_ECC_ALGO : string; + attribute C_CTRL_ECC_ALGO of U0 : label is "NONE"; + attribute C_DEFAULT_DATA : string; + attribute C_DEFAULT_DATA of U0 : label is "0"; + attribute C_DISABLE_WARN_BHV_COLL : integer; + attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0; + attribute C_DISABLE_WARN_BHV_RANGE : integer; + attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0; + attribute C_ELABORATION_DIR : string; + attribute C_ELABORATION_DIR of U0 : label is "./"; + attribute C_ENABLE_32BIT_ADDRESS : integer; + attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0; + attribute C_EN_DEEPSLEEP_PIN : integer; + attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0; + attribute C_EN_ECC_PIPE : integer; + attribute C_EN_ECC_PIPE of U0 : label is 0; + attribute C_EN_RDADDRA_CHG : integer; + attribute C_EN_RDADDRA_CHG of U0 : label is 0; + attribute C_EN_RDADDRB_CHG : integer; + attribute C_EN_RDADDRB_CHG of U0 : label is 0; + attribute C_EN_SAFETY_CKT : integer; + attribute C_EN_SAFETY_CKT of U0 : label is 0; + attribute C_EN_SHUTDOWN_PIN : integer; + attribute C_EN_SHUTDOWN_PIN of U0 : label is 0; + attribute C_EN_SLEEP_PIN : integer; + attribute C_EN_SLEEP_PIN of U0 : label is 0; + attribute C_EST_POWER_SUMMARY : string; + attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 4.238151 mW"; + attribute C_FAMILY : string; + attribute C_FAMILY of U0 : label is "zynquplus"; + attribute C_HAS_AXI_ID : integer; + attribute C_HAS_AXI_ID of U0 : label is 0; + attribute C_HAS_ENA : integer; + attribute C_HAS_ENA of U0 : label is 0; + attribute C_HAS_ENB : integer; + attribute C_HAS_ENB of U0 : label is 0; + attribute C_HAS_INJECTERR : integer; + attribute C_HAS_INJECTERR of U0 : label is 0; + attribute C_HAS_MEM_OUTPUT_REGS_A : integer; + attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0; + attribute C_HAS_MEM_OUTPUT_REGS_B : integer; + attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0; + attribute C_HAS_MUX_OUTPUT_REGS_A : integer; + attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0; + attribute C_HAS_MUX_OUTPUT_REGS_B : integer; + attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0; + attribute C_HAS_REGCEA : integer; + attribute C_HAS_REGCEA of U0 : label is 0; + attribute C_HAS_REGCEB : integer; + attribute C_HAS_REGCEB of U0 : label is 0; + attribute C_HAS_RSTA : integer; + attribute C_HAS_RSTA of U0 : label is 0; + attribute C_HAS_RSTB : integer; + attribute C_HAS_RSTB of U0 : label is 0; + attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; + attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0; + attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; + attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0; + attribute C_INITA_VAL : string; + attribute C_INITA_VAL of U0 : label is "0"; + attribute C_INITB_VAL : string; + attribute C_INITB_VAL of U0 : label is "0"; + attribute C_INIT_FILE : string; + attribute C_INIT_FILE of U0 : label is "bram_pulse_definition.mem"; + attribute C_INIT_FILE_NAME : string; + attribute C_INIT_FILE_NAME of U0 : label is "no_coe_file_loaded"; + attribute C_INTERFACE_TYPE : integer; + attribute C_INTERFACE_TYPE of U0 : label is 0; + attribute C_LOAD_INIT_FILE : integer; + attribute C_LOAD_INIT_FILE of U0 : label is 0; + attribute C_MEM_TYPE : integer; + attribute C_MEM_TYPE of U0 : label is 2; + attribute C_MUX_PIPELINE_STAGES : integer; + attribute C_MUX_PIPELINE_STAGES of U0 : label is 0; + attribute C_PRIM_TYPE : integer; + attribute C_PRIM_TYPE of U0 : label is 1; + attribute C_READ_DEPTH_A : integer; + attribute C_READ_DEPTH_A of U0 : label is 1024; + attribute C_READ_DEPTH_B : integer; + attribute C_READ_DEPTH_B of U0 : label is 1024; + attribute C_READ_LATENCY_A : integer; + attribute C_READ_LATENCY_A of U0 : label is 1; + attribute C_READ_LATENCY_B : integer; + attribute C_READ_LATENCY_B of U0 : label is 1; + attribute C_READ_WIDTH_A : integer; + attribute C_READ_WIDTH_A of U0 : label is 32; + attribute C_READ_WIDTH_B : integer; + attribute C_READ_WIDTH_B of U0 : label is 32; + attribute C_RSTRAM_A : integer; + attribute C_RSTRAM_A of U0 : label is 0; + attribute C_RSTRAM_B : integer; + attribute C_RSTRAM_B of U0 : label is 0; + attribute C_RST_PRIORITY_A : string; + attribute C_RST_PRIORITY_A of U0 : label is "CE"; + attribute C_RST_PRIORITY_B : string; + attribute C_RST_PRIORITY_B of U0 : label is "CE"; + attribute C_SIM_COLLISION_CHECK : string; + attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL"; + attribute C_USE_BRAM_BLOCK : integer; + attribute C_USE_BRAM_BLOCK of U0 : label is 0; + attribute C_USE_BYTE_WEA : integer; + attribute C_USE_BYTE_WEA of U0 : label is 0; + attribute C_USE_BYTE_WEB : integer; + attribute C_USE_BYTE_WEB of U0 : label is 0; + attribute C_USE_DEFAULT_DATA : integer; + attribute C_USE_DEFAULT_DATA of U0 : label is 0; + attribute C_USE_ECC : integer; + attribute C_USE_ECC of U0 : label is 0; + attribute C_USE_SOFTECC : integer; + attribute C_USE_SOFTECC of U0 : label is 0; + attribute C_USE_URAM : integer; + attribute C_USE_URAM of U0 : label is 0; + attribute C_WEA_WIDTH : integer; + attribute C_WEA_WIDTH of U0 : label is 1; + attribute C_WEB_WIDTH : integer; + attribute C_WEB_WIDTH of U0 : label is 1; + attribute C_WRITE_DEPTH_A : integer; + attribute C_WRITE_DEPTH_A of U0 : label is 1024; + attribute C_WRITE_DEPTH_B : integer; + attribute C_WRITE_DEPTH_B of U0 : label is 1024; + attribute C_WRITE_MODE_A : string; + attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST"; + attribute C_WRITE_MODE_B : string; + attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST"; + attribute C_WRITE_WIDTH_A : integer; + attribute C_WRITE_WIDTH_A of U0 : label is 32; + attribute C_WRITE_WIDTH_B : integer; + attribute C_WRITE_WIDTH_B of U0 : label is 32; + attribute C_XDEVICEFAMILY : string; + attribute C_XDEVICEFAMILY of U0 : label is "zynquplus"; + attribute downgradeipidentifiedwarnings of U0 : label is "yes"; + attribute is_du_within_envelope : string; + attribute is_du_within_envelope of U0 : label is "true"; + attribute x_interface_info : string; + attribute x_interface_info of clka : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; + attribute x_interface_parameter : string; + attribute x_interface_parameter of clka : signal is "XIL_INTERFACENAME BRAM_PORTA, MEM_SIZE 8192, MEM_WIDTH 32, MEM_ECC NONE, MASTER_TYPE OTHER, READ_LATENCY 1"; + attribute x_interface_info of clkb : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK"; + attribute x_interface_parameter of clkb : signal is "XIL_INTERFACENAME BRAM_PORTB, MEM_SIZE 8192, MEM_WIDTH 32, MEM_ECC NONE, MASTER_TYPE OTHER, READ_LATENCY 1"; + attribute x_interface_info of addra : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; + attribute x_interface_info of addrb : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR"; + attribute x_interface_info of dina : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; + attribute x_interface_info of dinb : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTB DIN"; + attribute x_interface_info of douta : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; + attribute x_interface_info of doutb : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT"; + attribute x_interface_info of wea : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; + attribute x_interface_info of web : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTB WE"; +begin +U0: entity work.bram_pulse_definition_blk_mem_gen_v8_4_5 + port map ( + addra(9 downto 0) => addra(9 downto 0), + addrb(9 downto 0) => addrb(9 downto 0), + clka => clka, + clkb => clkb, + dbiterr => NLW_U0_dbiterr_UNCONNECTED, + deepsleep => '0', + dina(31 downto 0) => dina(31 downto 0), + dinb(31 downto 0) => dinb(31 downto 0), + douta(31 downto 0) => douta(31 downto 0), + doutb(31 downto 0) => doutb(31 downto 0), + eccpipece => '0', + ena => '0', + enb => '0', + injectdbiterr => '0', + injectsbiterr => '0', + rdaddrecc(9 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(9 downto 0), + regcea => '0', + regceb => '0', + rsta => '0', + rsta_busy => NLW_U0_rsta_busy_UNCONNECTED, + rstb => '0', + rstb_busy => NLW_U0_rstb_busy_UNCONNECTED, + s_aclk => '0', + s_aresetn => '0', + s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", + s_axi_arburst(1 downto 0) => B"00", + s_axi_arid(3 downto 0) => B"0000", + s_axi_arlen(7 downto 0) => B"00000000", + s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, + s_axi_arsize(2 downto 0) => B"000", + s_axi_arvalid => '0', + s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", + s_axi_awburst(1 downto 0) => B"00", + s_axi_awid(3 downto 0) => B"0000", + s_axi_awlen(7 downto 0) => B"00000000", + s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, + s_axi_awsize(2 downto 0) => B"000", + s_axi_awvalid => '0', + s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0), + s_axi_bready => '0', + s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), + s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, + s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED, + s_axi_injectdbiterr => '0', + s_axi_injectsbiterr => '0', + s_axi_rdaddrecc(9 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(9 downto 0), + s_axi_rdata(31 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(31 downto 0), + s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0), + s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, + s_axi_rready => '0', + s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), + s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, + s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED, + s_axi_wdata(31 downto 0) => B"00000000000000000000000000000000", + s_axi_wlast => '0', + s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, + s_axi_wstrb(0) => '0', + s_axi_wvalid => '0', + sbiterr => NLW_U0_sbiterr_UNCONNECTED, + shutdown => '0', + sleep => '0', + wea(0) => wea(0), + web(0) => web(0) + ); +end STRUCTURE; diff --git a/src/hdl/ip_gen/bram_pulseposition_sim_netlist.vhdl b/src/hdl/ip_gen/bram_pulseposition_sim_netlist.vhdl index 39e50c6..509928d 100644 --- a/src/hdl/ip_gen/bram_pulseposition_sim_netlist.vhdl +++ b/src/hdl/ip_gen/bram_pulseposition_sim_netlist.vhdl @@ -1,10 +1,10 @@ -- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2022.1.2 (win64) Build 3605665 Fri Aug 5 22:53:37 MDT 2022 --- Date : Thu Dec 14 13:00:01 2023 --- Host : STATIONX2 running 64-bit major release (build 9200) +-- Tool Version: Vivado v.2022.1 (win64) Build 3526262 Mon Apr 18 15:48:16 MDT 2022 +-- Date : Wed Dec 20 13:36:59 2023 +-- Host : SURFACE-EY20NA6 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim --- e:/home/acme/NANOQ_Laser_EYHC/prj/zcu_pulse_channel.gen/sources_1/ip/bram_pulseposition/bram_pulseposition_sim_netlist.vhdl +-- c:/Users/yuhc2/Documents/GitHub/NANOQ_Laser_EYHC/prj/zcu_pulse_channel.gen/sources_1/ip/bram_pulseposition/bram_pulseposition_sim_netlist.vhdl -- Design : bram_pulseposition -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. @@ -13,3415 +13,3415 @@ `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" -`protect encrypt_agent_info = "Xilinx Encryption Tool 2022.1.2" +`protect encrypt_agent_info = "Xilinx Encryption Tool 2022.1" `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block -LeGfW11jhaz2J+tJMaenLWkjLcTVui+VxPBx+8rOGcckNXfLMz6hIBva/LtsV+2wJyibTPCiSmwc -7a2w1hZUFyVACV9GGi8y0WJ9q3A8RsB7QVTtaZcibjDRLXg4k/3rZRfntRBGdrwRUbZYkRFSEnTl -2OduqlE12sj5Y7iF6zk= +V8j9uZAuTSdcU7d37hOuvR2eN4+hJE0SQi3782LtikYHlIhlhzzBECcQ3wckATmgIOfJCCVEoeRA +ZabxUB0jmkGFcM25pS42us4l8Jw3tzYXg8dRkvx7VRPHyWH9wXwUgy0qFUIqbS1K3ToC2ti3Bihe +SaejkALX/yf7GEmQSeg= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -r8t9cQzglpowIt4+xcz/IaQ6hIJgvokVIVr1+ofeCnSW1AhoNDkBOVCYed5NZwqv58LAQ1joHKNg -5DeRcjUda86YtfHgnunZeWDMosIcbOUTFIhSSQAlGnKGBtjOG2QWfhBUsjtxkaPPPm91iippLBYA -9PmfS3n5qJlhEaXlMcWx0HjWoE7ugZGHVyFz4hf50hWWMW/JHymATMtuBic9wb+uq0a4oI0HyS/l -iUI/ZwfztlvtKg5XQGpHcMLZxY2SIWdjrUIBT+0/NjomElyFl9kJeuz+6tBdE7JPsmel3cbxC1fW -sz6ZsGtad7GZn1WyYqCJ9UoNPozkAxmABGY8uA== +KjnLJu4SYrpE4qQx0FJobDTHe2g5+n+Q6FObiGTKe0NVy1wB7V+KEJqc+r2xjpEXlquV87+TrOgr +yoeXvSYsOmh/oNv+5lpsb/kdhT5EljdkfqI4rTDdogwIRbF5iSu9dp/2OtVr+nC6QYGDI0YDgcO7 +4kn8ghnBESoln4PERbuzfTfbc58lo6Gq5qv7TMTjDZMRiN0CUTCuYzVqRTCRXkgTDhosefVDs6Up +pB5jZ9devajNCsz9yQIQtxvuN9tXVWeuRueNFB14r4rYY7F5/otmDqvKgCWwEXtKqVQNj5hQkSFz +YWx96euGqafcGtIs2W0H2QMov0vrSxi2Wndlrw== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block -kShj4t8lODJ929HlsKCY/+5ZeTnPI9puI9QoNxPLe6eNy/+KnFhGrS0u5vusA6SvacoSwNgJhKUJ -2a++O1t2UCEbOBHpBwE904A4FnPrjLrNRV5TSkHTZxPO3LQJcNGnoe6zS3+hmNfVLL5eQUN1clV7 -5ffErvjamS6EB7Ll25M= +YO2SS3ozlen3bngSMDbc88mazzkono7nFrse2QdBdhm7cHsDiCLJl1u/2ZwIFv3QeEbCn5u5q8hG +TDNHI8nZRuskZLs0BXqig7uplAiktBJEN0l0ei2ciUax4iVnRtCVKfn/M+BUZj+banPiWp9Kpdml +VOrMoFqIXebJq184IVY= `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -IesG4t3FxfHK+fEs4aT02sI8WVDIDncfRFbvXnWC8GwE91EP/dgDdTolq3nbrXf/+Qc6n54MCAyK -X2UodnEGcvj55W2heas/mBgClp8MOVqdBT10qZGgkvoVeLV9ov0bKgKJwFORTJZHhhou5ANX9/j0 -+7drtgFvrYOeSaldsQDCIs91T+ZjNX8R7D2K3RnxjohFgZ1KMhogeqPIlFmpLiFHK4NdmgzC+lK5 -W2FDcKN4fsdHtQX7qxZVJf25BL4+D1b0KgV6R5Q8O6uNPo+4FPAzb8rVVXda3EdmCQVgDdKd6hbi -N88MJoBnLd9Xbm0nQ7ir9ICJaQg7i2IQmFUL5w== +eDqyXO8M8wAUlkNysOtmW3Ag3h1qUc1ksEfo85mvU5cMYdCjRVYz6OacttNeARjho7fIzXtgtHAi +s4cOsFuah18hkHlPDbWnJcyaBoN1UC3zH5Sq356+JnD/+tnBnq5OlU7W8OrboEfK03go6Zxe/y0y +s5Nz5MFYMngLELHz4vZOYoOsO0xFsbio7vDtFzbgvpvZVLhKvQGtVdJsfIEkBd5elE4tTaYSPadU +6/cHnyXVTNeuDPFYqkX5j61R1m3f4zfnkdWn8CSZWYouhfpOaV32Tgk2834g6THkeV44U6Kee28f +2zM3Vl2Xrsa0SP/3vltYwvfGU5mZYQWr7lVJMg== `protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -ZRawUDY2fbiuyochuUH5I82q+us23iyqE0jz9w5r3v2OmTWRs0lTLKFf7sj6u0rlH6HlaUU9lfv/ -kgZjpeombPnZI6sc5Q2/InLei90Es6fcUz0KM/0aW6lEq09pYS8jDU/zb8vFEkPyY8uFpgl1lgIh -sqTKAwub+VhJqkTaMghX64hneZ4H8k1CDqZ0JsQYvMKVqHZ8l3sh0ZujpYQC1NiLQUgyfwmebC/p -qkQYA1GGWRqKO+cg/dPVl7evcaRMQjhtgPQ/Zdl2UadJpT4N3lhwKiwjt4Y7vTswI7IlEVY/KFOU -s8WTCNCg5Z0uB7tL2fd9V9MH0D/BWZk1loGi1Q== +aoJHr9XKFogp3jqb6pnOP1SMdRNgax7PRBVL5oP9u6EBjCyOxasIjony/C5q5NGBilztG19Wtj7R +pSXqIdzborswgHUyJ9bwF4lzJzoJcmlMej18+z1Jpel6fGTc/j055Fdrvxf8H5B0py0ynW0+fDNZ +zPhFWIdVVbPKObUsbSrAF28VEEdjfIanMWusQBga1WgtIzzlY2O5qHroTYp5swOjX4CzofsxuVN6 +zxftYABV04wUN095K7HOK1DJ7TAXkfdSXbtZi/YpdsedZqTNxXRNCMIadoaueO+BVfk1QA6R8ep1 +QEt/eDqhzxImaL/W5zdRu4iR3rKrxE66765F1g== `protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_07", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -qXrHOJ4zjC6mSLsS/WK+Z/7gei2W4oZM7/nhA46tliEiiwNr8xFMmmhjhF2165yByTNOp5u/G4yc -WqvvVCktSptdefOgNeS7cjhYYUt4rD5NRCLNNWClDYyLB1Jd9OtRM4WTPdxE57cHrWQTgNFOeYec -MBPsYJ9vZC1/rbscprGo2u7dfscYqxwzEJfrmKue+dVEqdpfJLlSdqobjrKDGKr2JZyEuH+wnA0s -feX9R6OeA8QHRJ5Rt+wSRK5x6jjAQImEriVwbZzWU490bTOGFVoMPrlsFAHz4bhgK5T+vR899UqI -TTEW9zxG0lhTE1sLa5DS8Y3YRrH0q2XxVP2TmA== +CgtzskH+mzmGEWqd7KptSOOtqVwPm0tHLX1SSP1oz9rDkV9s3RKi69fpV8a1hfcU7tArjCYftqG0 +OvBq65dZs3YMQA33i9lNugkOFd4s4mWuu5Jl7VeYn+9Rbn9WpXfIZp2ZhSebC7u4L3PU9Z/nt268 +TK5LXHg27h+Dh/nfSuPBuUJcCfpFrpuNqXFqczDxXmwttNzz/5sbeoeBrELv9ua1vTrye7Ej9hF+ +MotLlCmiWkkXoEFD9pgzAoLciXYvcnbqinUVZBh3f4F63hp3dnaF1XRU6BQXb07O2YWHsXMlKNt4 +dK69u70ApIkoibr/gnLIZpYXk2Aw8SUE6s7f8g== `protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -bhYdz5Gt8Cr+8bSoHN+XQ1ZpiSyf1FbANMacYm4zOGxLYIUOltMC7URrvaM51stDXTvBSVgDpKll -oNKLYr7jWqII3JpNoTThJngO8baOsV+/enItW2oAABSEV/u56OPBBChP43TU+tAy2cwEdjTf5oz9 -0/7l2w9V3t2QGjcAZjHEvLOWz6jial7M63OeeowHD0Zjo2a9FxLiD8H7m0B2S63OyqxQoZ/8lo41 -SiEHSG+PlBzGa6PJ95XFRqVELCYSHdh6kixD4Ez3Zz5ZtrMU5vuDTM9YPpIICoPLhBFcE9Htn0sL -9PU5SMgLpaa6/AcWScKU4DhXVcbo0l8fc9fFpQ== +qsF9lZxi/zzJVv67MO9pgWqGUstJe9URVdS4Sv0uoJrhh2rTsivGGCvajhVD4t887objCstZgTrr +GYoUVZ6+g5Wc50Y2H3Lujxr2ttPiAVBcqys8TNKzDd+sqqU9enMiC6oiNqRB47MmOChOBEVYG9po +MWBfSEOoqO1Bo4apr1ti7erpbZIS+vDEvNVBAffYTcjwMJo0YqVrHdgptBq2+soaNLYmiqaRp4+L +E+a1aCRpXco//ur2pwZKefYRj1Pbc3mGa0Db2EKTgzYxLCUc2Ni0MogHDl9nRduLW5okZXPYINE9 +ZEibZH4ij3dCb5HI1YitvIlSsbwkthlrTRuwrA== `protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=384) `protect key_block -bbaFvGRmVr/tPJfM/Q5k750HlhRIwDxp1MLbFyafdE1N7QWhihDkGadzmBS2ssFPPb/ZkRFqpIA4 -SZR+uc47mdbYEtlrJiCLQOjcqKYn+DPf/5cG+tp7wz3zKxD3fO+dI4e4tMEMkIVC0XrN/LbUOq9g -sexgUi9nu+Mc+BsHmuuWGCDrgfBZa+9C/VTvR3ZIGAhy1tAqgC2r85JOCLT+AuXdMcqV2pEMxoCJ -NJERkNwLFdqn1tcBtzgvAD+AjkJEXJETOkGZvnD8ljo2yezC1siJ/Y78AHRdu4mWbiw2+7YEzPfd -frX0hVweSToMTo5xX/FXL4ESH1uq+d3OxgPMbmvd4c8ocahCUmrKB1yS5NZwV/psMSC6nY6d5gxA -9iQxVWasJv4CYeAQOnuv3tnxIk3yNSjazhS2m5Zjo6UQ87BlLx5YoFybI3dJJfRgF/rWdTrjdnyk -3lpSq5ZudxQzQm+W9YDgO37MR5yqGD4ytr1UBeIM0YNEsTcMQuHxrtu0 +Kfvr9uGICBYg+bSLCIkImscNd/d6O5EKsn3LkkEE5OLapxJgQzKOiesn0Ix7C0xi1lWmgjVDszTB +1+4PlhsdxFh2+tLaWEL5PS8Y+wY+Z6QWup4F/pHxKClIEvUeQqoxvy/4LamzYL84Lk6M8riHxELU ++UIySMpujDpmvesYeJcr8406Ky08tXu2ZYhzpI7ssAdevE5a5sv9uGOIE8SIM7hMSJnH+kDqv2XV +DCjIB/nPCxYZc0dpsQlckrpVRPSgn2XaJLX/gv1m3TBeoBxFtKK5IcQEbprjnUtdBRAJSECHzJ99 +klwM9H7sQ3olqvcqMgxh7KtmwR1Pk7/BfETOzoythUHTo20xnhDaqT37g+zkKDOX/KMPxPP/+8Mf +v3C54uoO1KJz8iInxtwwu0Gkg+jGF77lLMNhR/s8ZQa0xupnEtjRd7L1H5D1xGuzhnimxL6oJ4lM +f3ToIlUmMffRPBpCLpWb6aeZZQyBMi3q/mdNpJxSTW5p99Bkt8UAcy2n `protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -lr93mz6+I7varyuqCVsB7oDLzaoSs0HZFAGTXXCo/sbdlFjDN1mru6nXV9d9mgX2xN1Noy9dJw7d -8UiK6Uvm6oH/lQXrwXiPQfZ2De1Fu20NJnc01icgxnDfMBufXQDjFQz4k0quolkgw+Cpk/aesBym -6E/Dx6fF7X9gZoVjVl6I/K0wFOHiWKPC0lepToEKvexOESyXo1PdBxKhaX+0d1+yaUxcH42E/TLN -+6tc+ZIo2QvKIeBHJ66M/8kIhChGRdGrwP9p1+cP3Tf5GroIu11oiwtP+he0DpVbpzPRD4D3yQ41 -ptt5uxo6Sx5OHcF7EIhdBVHhbH1qQpVT9P5zJw== +kfapcdMik5+2iWCupVkPJLH/966AXOp3PqrBkJuAdqp3INTQeZICoWcyWImOR+Fnd1UbR5M8rJYw +R8Cjv4QYkt8kMqp/W6ZkPKauqc/dV4hHTgNjWmaDEPaIWvhXyVCARs6Kkc1XM9Id1BraWss872xt +GalXd7JXwJwOrBSKRYIZJMAvcqANDFyws1jlxEcuCKaxlT77kayjELqOewDOTN89nkTaPS80mBry +uUoplb7zOYXDvaWu/iVZ/BC1Iq5miXVcNAHb14TeyqXWwAsSVLeUJgrmOaKabKq2FRh66iEmv9wR +IeDmDHY40ooDpK1V7CDq6vBkUhnIeEQ3uiDy7Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 189536) `protect data_block -JZWXYVwnEnZU18T1mQ81ZFYKpZOPlH1s1RSHKUeIT1T3g81N4NOzxUhLPf1k4NM070bq2B5u0VNC -dZ/9fVO6JkYRS1rLIriPoUJgPQKgNiAfTy4tRNeIC+5a42E7QiBK/M/bipntNF5pRJYM44z3Mbk8 -nH/t4HXdw5ht6+7C2jpV03rS7uw5TPOMDpoWC3juMEJI3TmIy+qG/jPRruWQh3Kn2tBUVc1yTKxS -tPdrEubViCClvMfopND29JPzTf6qBrdOCLvS0Zac2qROf/HxePKMC3pKbOnkOq0SE3o+MCJyckLL -dnwYqN3Z4+He0k6iwQmByquKkCDmeYTzAZZJfqdX2rhue7PaL+vqUtMiIondJzW197mPQdSNXn6/ -2hTczaT/rZaS6ocKv3pznc21EWYRaF99ki1LV1Ey8VRsilqKCK+2JBm26Ao6H4+/Ygib1wc/6Fca -0QQmxMqP7cYaNY+fvd28UVewQKP3qDiIy1yERWG7AZ2cmxtI4KelpP2AFnqZ8nytZjDq0EPj3XQW -ufj+jGFlwVQ+uquJ3JYapIfgE7hF0rFn1wLQODRR3O0HXep04t8PROvpOE5rQctrh0OWYLrwoSvC -cwYRxifF/siMEtN1V6d6Ak0UVO5bpEF0g1dGpS5nEcVEQ1wn2AEKh/WDXQKYxjRQey+Spt0euyUM -lZbenW4Qd7qWi32LRPWdcPjw2NATUcmwlvrR/XINPXjSGM2YBYVj494duTRufPnVzGjg1Hneaxcu -cPRsQF9bhTs0fxxJVA+w2W/oeJLrjOnTkJlNePk7izYUCQUa1f1txfGgYHMSl7+G9rQJ0hzdqPwf -0Wk6Qv+CRcnAWKacvgIe4Avx5nvGgmh/LeZ9lfKsUk1oEQv0ZAveFd/9sAboXbosTJplcCeUKZ2T -/RFgnLjGQ8keJWFOrUA9e+Tq2eBavm37BzQ9O1xQehNXkgdq6GKD/3LYNMcNTirDMuv5umQ2lv65 -SKlseLysGLlsTLzoRRCa197es4PO5w2CpfqzWG1GzPZyZHFZsg9iaP1tAqEpFptKVBZFTOiIpX0e -QQ2rdyQ1pWT6rYGGT2U3OpYUjgRSUz22e/A9k7/xJyXlAPOe+MAoGvEN8bf+qN/JoUIJW+X5fIBn -CNVtfrEI2/TV7KUHtSDVAY4CsbMhuRKg4Xm6s9uSc7h+uAcdvsqHM6j3FAHucOdRGp1o2eyhvRDV -q4KPZ4vmEr1J+iLzZJx2gaIGwDfpv3rdTBLf8nFrf9NYki+h3hxNJ/+2Y8Too8zYFVNpbFDeq+2A -ZeS87I22oncHxmZIaEBGE9Wv+XaJuSzSsV7xQNqEu6cqdrfPz1N/J7lbMDOISWNFv0jRNA1y5h51 -1EHNWh/pHu3vsahVUfdXDu7+BEbG1difEjq9XMj5ziUts4cTDIXr0/5/aTCeQ7C03Up20xwPtiHl -WIWVGIlZ2Mn1ZRptCczq1vwXRkzwrlZWsW9bxltymtViWoaRzX9iA/63XjpLqfDPAzC5QTLBNpJJ -CkjdAqJMQwFb8pL2WoLcf31aHdE0AFp4UOmiHPgZwyQXKpcq2rtqIhTOUcRVzSf3Yn+f9y0+YZhS -zowOmfP3TroVo7o0tlf4+qSDbfqzISQhmeLIlKBUEpwt/u1Y2/5X3wBZbSRNr2kWGmSkN4J9TEmq -NBIRQE2k9s5NO9jcjN/wGTGtHm4cxl+hptsIHUovEYZPLI6rV7nmpp5JL6JRVAdWsgt7/pk7keYa -+hrpaGWg7w73CTlIUU31p5dmbzH3vKWBXP5ClSoEC2LKEMdKrtN9G0ak9n4luZo7deoFwxvymCUX -D5KP8RYcyTZyoZWWm64kz8KmdePqhuexSJeakyjjSqmDciYSVsPxydIz63/Xg6s8xqgPRO1padqB -sV5UGDapifA5WJPxvfV3Cfb6XKT2LbcYxe3WI+r9IQScThHRWAG+SMBwOjggVK+l+ALlKur2bfFC -L99RFQ9EZMoUIa8Tr7EiShEFPv/x+X0oPpE1VelQB06PBKUmLgExamN3fcywu24FnUERCW9P214+ -lT7+KNNmR1Nc9/f9Ri+Kx1Lh4tR1SnbrGdF3716LIX6KoEoGkWv/bfbBVg9f1G7IAr7xKGhgQtDQ -3oBDkcGttQSbIrC4Kv7LvJ7kRtC7mhIJ51mwPijD0Vxidr1vTUnGp0EwlcC+C26K23EjK5MBHvFo -KvyvxPo/OWlGtHg03WzV0Qa/Wx0gGYYgBnE/PJXsYjM49sasC/1wQrwSv5GpfP0uNpb5hQmbh+/L -C1OskHOU7Ia8WwrhKV4RkbYuT58RFLfDTiFFZo0PFRqilSGHQJc8qK/bvkj8uS0j5OAfdgHhk681 -BRRM3ErvMKh5t2pGXXQ0/mAZmzM24xS/cYf3grKAQvyBcVhtDEHRdWUrZtDaPsMYtiLSLISLr4Pg -FBwXPyoB2qRuvaXIbPSm5J/iHf0VX7cQMUZn626ZD6RVICLm+NY6EZOwdpvCjTfengLBc40acWpB -eDWVAZHokbN30NyXU4DfJMl6ETTSYt/brRfXlmf46Ix5fZdlC7aA4rZY5UiWAccW/ibm4GBt9bXB -tVm5vKGAPEI7VzQW+aUsikTQ9r8ZYL/wdX59a93PCdedTZYQ7PaqkC+6hoiXiBXYIqBfJAe0vH0z -ZWSXGEasZ9uD1ZlfL+I7nXoQIZeZq4XSMZephUio3uXsQY88EaORG9LkJr39bQziydvE5wJkgTku -HtSQ5NXLuMqSvzPjxpQ59pqyyun6mpQJnKlcBUq9LTVawLFRF3rURZt2TgKVBR5X3odYny6WIdUU -p+JsVnWtl/5hMpZEtJ35WJdG+dVaULmYcvXf5AqSv9oNAKeylTDac4uEfVEgptUrMI8UQslwnzhs -FXKSSi2qV8tyEiCh9n2a7/42Xk6FtzVBfaA1b08tqifZvEoGGxbowNJYuQ96FKKue9guQVdPKlzs -lz2/zbf0HQJsUDhC9Znype3sD73eckaz5Ke1FqunZ0zKVSUWmLxXCzQFCPWJqZDrEQmD8B+uJqON -cIAInSZKhOmpgjLUR588ru7lgWlkz44JEvrFt8eqc/o6xRI2mKp95fpz4kh2roMuwVJiYA7LeAF3 -/plU2jsQXDvMC1G4yFaioEETS8yung1BqQSZMEjwwBdnzMgHlmsaNNOBy8CYjagjEQezeeTJDQAI -kXIlAiFwQoeEMqPbRf0aiOJiJCtu44V5ds3aKZujBCETB7GGLJ0yBgLCbn0bP1nHnxbI9f+EMxzs -6x45Z2A0C98WNy0VAevf4vWDjl+qTZV+dZNCg1dxzTOmuYQn3AXG7qyNO2Zv7dFww8KjRT16yakL -WhpBx6Hneg1y5w3w/vg45pKXMM8X9fyCVPbt9XINim5US8OyjkJdktcqIclSbyUxIc9SpOye40Vc -xE+blT70kffp81DDGI9v83mbngeBLJNnxZm4eWuazOGxca86lxaYb/yWJGpmL7wf2QV67p9VEwmA -h+zai5VgcaS9i2v60Uq07REERbs3tss0x8CeXrYEF+PcWFyaGTsItD6UnRMA1KaB87KqZql2nvpF -avnWEHYlhFQ4nv7xVlBLn/yUNVedrZgcrVNKl/fpSs45r1wKvlvpGCPz0jlF+xvBGxIELDgBxvmz -H3gdf37YFiIToFiBu16wWjTUHUMWUwTqgOZeSMm5KV0Mij1zGk+3E14nhb4Uy/jeBMEeN3NuE+rM -teA/M0B0QoXE8P2FmRi1C37hEbUO5wUNRvjRqIfaSNEhl0ZghnEur359GY2z4dFs9TQZTSHkNi6y -UEUBKXgSMEn132oja//DPsrIuqHuNW+vpPY7Mcd3i+VtggS19IBFiwbUlRdMpsWIPDyqShkQlbve -NUthK/Eohmo035Ipr/BiCJDVwBY4YinJtYa2tAeM3QvKY+A6Y4smDZlNYrF61zvFqevqF9Kkwqrn -geLEGYhWsp855G7C+tXkLWIM32vE8Pivn2MuSrgsftS5xeBWr7z3RhRiPmK2VyMReTZ6jnUvM6eD -wm3/OROsskVW8s578m46JZpXmowbbwfsTrKHXw3j4+j+Lec2WRW4i2/WQ88csBAJQsy11xtl5yfA -K0LfQzthivHoiLhF3wu9kZdQgVxpM7Vrw9ZqjvbQdhojOf1Jtm7XNnfHGTBo7WJs2DGK0rpnIde7 -6ezVPFwKiLT7ZKQOAklMZ6RhYM+qvnBr0N05Rjz6P42QuCB2f/QqB63zmw+b57BnQw5H+WWozqRv -FBb6rq/786k69jxYay4jtqbkcHOIOgdqoVQG1EvJbvl0wdRkelkLiVw4omCopLwdCGHUfW6bSeTr -dO+GSMrJC2yIavZvh102AEy5K9I/hcAiyPJb7u96reDDHP+WHavZz/4fF1EO6RD1+FXugGJLxfDb -BW9UNcWCyciGVlBYj/HVeeSgi2cR08/0yY2BXSDR9s04D2Ytbzq4yfmZAjsXGlVOPLDvzOEGo6ns -tyTZSD4R3vtk5LdZjhnaXQUQ6hN2xWkwuQ8uzHm3r3e/SFjp07N+TTV//9rL9c1euED7mEAhtZzZ -hDwxY4c36Gpfx+vmCFK2+ldsIySZEqfpjv74+mqb/N4E3jgyAx1Vk6WJ5384/8fHfc8uXc9dyiN5 -NvrPqBd70SJX8VkQV9lX9vmemK1m+lvK3NMYZreFQPoN0M/gUN3Udk0Bas30LAvjgkQYYYK4OWZ0 -83T3B/i7hVuRoM2xRT92rW9ZCJjDNamsP2YLhYz3yyELgrslGmF6yNpqJeoP+fdHUT6jeBEyDqpv -94dWLlXgb1VzKIN4vtafxJfvGsiY45016/BpQG38WC0xspWCkaJNSt3oPTBeNIma3QsYKWsP4leM -T2pfkVB2fN9bf5yzwKKoJJOiLemz2Jny4tQHCPJEJ8w/RjQae9mmfDkamD3RwDCu/V3LIoAjGSh8 -fBKSdMksH6nTZm+3gCZXilBLHntkuHBxW2b3xfs53+y8neL0ZKwxfcOf8TdjD3msia8ZS0AWd3Zb -+Hy/1uFWXeUp2Fq2yiFOZjEogf9bhT51aqqxILKue/nl0/VAoCLzn/7cyse8ribdhmCaIUnCG/Zn -ftru8WAJRvOVtdsM8BjH2ptj/2nq6NiUUqn7pCevB0+16MwqvgiJHdYLyeWqMWXcSUDJoemtbMa6 -vcZfA+xqDIFeNetRd71By/9D/ls2Ap8f+low/5N074X1Hb8VyuTx4zGu8qOoQJSXKVk6S4H5GKBV -BZTS/Es8v5JkCCrGf5c/GT1hBJ3R2IOfKPEnAsTW7ZX1IA+6wwenCvHwsXgtuAypOoG5TzTtQcsC -GBEnIecNxnaXDZhv6PzmwcNIHjjlrvebF5r8ATOmwCbrd9UqEYeVFoYRBOwqH0AzMiNnA8sdUQ2s -WyBGzXKcFJss+Ks44qJA8uB0y5Vl3jECR4RL8cnP9EzKtdf8BIn8aAcB2M7ZSRda65OPnDMbgCtu -wtYy3W3OiVIRaIw32I+N8yR3/96gOFU2RyFvh0ZTg9oEIDH6SvG9N2Ab6hjJrNvt8jwsyZOTY8L6 -A5ggh/Ko3V9+aL0ngig57gCWRzTZ5SIw/TbIGX95JVKRt9Sh9ANhsQJxAVwx4j0vwsfC7hzL+45J -zRTrt9HkWYzHr7nm5w2F3Wzmb2qINP+RjLPuciSRQlcs2T1MIC2TX0lvBVUnF2dXuKksj051qDAJ -BNntKZRBi8IXbh6JxKT2VyWixeMaR0CNYNmaKZhM85jX+6I/EsL84P8d68txnsCtNOZQaU/xswWO -rXtFE3SREw3a1oAGtPHaraDpPlHmc94uWVuLVa88j7MQocoDJoN26sfD+kYYKpgs3+PtgdWJSjo6 -hHJxP0LGVrpCzvNhA5MPhTp7OvXRWYiJ87Jc+NIEasuzf9w3zhPVWsnE6CQseFqQFU7wWlAjAGct -O0rmOrKYaKKk4aiczLAI8i6pB7ZAedUI9sW8OmZEhtAFjDDFcVRnvwPMoInol4iw5Mg0LRAoLhxH -VrAejzoK7cjliCWkyc8fT8Phn7YYD7jOBghnVZjFrrDzufSaO1Xtf74mjJPbzE0oVCcipNKw17bX -kI5fZtl6r7gRchHxLPMIufoywg/vBRZDIDmyxVVVtIa2h+oYGPPXteigHUHt196EXEnCjzlu5b6/ -wZtIUtBHkITYwWiKpRRYRIgEN+GAClO6Prez+0OqJnhXI6a48PsY6456tsGt+os+kNlipibMwHOy -OeXpGEt5ddZQmLlAF41C9NF8JZ1kuJjPaEumw/Dr1UYo4c+BJYVvBjJ/ylTiQjkBdo0DxcOBM9Ow -w0LlibDY8csLFFDaPhbgUGP37IWVozyiGSD4K4CURjF65isY/WUIRczFbNU8KdjZlaYyLekEUl/F -eHMcFu5PkgEz9y4uh1Hw2XB1JXXkt43pOB6fxUjMu2EmWgyAKGRCoPxLTvAD9x7urrLNfl78UJmc -sfDlNeqPS0dySfvNpP9FRMZoXP2b9UjDPsulgflxq2qhNk3Qm++6fapOLw8a/uJe3jKuzxR+7dKl -7/qN3upWvgvvjfCqhbQm6VIuSDHVJeiZGHQilMaOZz1yfTAeuEY0qtCVbsQQ/lHZXGK4dbKlqAvk -meIo/PcVmiiJP2Md7C8puzKF9wQi3h/v6KrmtxulIUA+eUMXJavh+YapB1i/shkl264HTcgPDigH -DUDAaTrk+1zff9OlfLjh34TyuWRtR37ynYQ9d2Mv0sbl7golJdQGoLDeQcy5Yot4Oov6gfeLZLUC -6noWafv0xg1fxSkwtul1O4ruuqqRc0qxVETfcF+spYWkfrwEjcwaIYaORD35GbdC4CXY1zqJzGqv -xSdO0Fn/+jilOM8WMZtP4AtZAnKHiGsBjY2okRctS3jIe1ICUv1pt8sGjQztZaoWxWU76Nn6lWtO -OYn5lXHdZK19AEfhfP0/9kvJvQVJHtNAo2gjGp00BAWL9xBNJbnBe43gKmwMWAQ77OWjDeMrIFCx -k7gLrlk1cIyYL7qI86QNJAPJJ4AyGl7bkbqZXi2dkBuowzgszwJeMtWwWAQ6Xpce/VuVvVz94NrN -28gAXG03sEQi/rVqoOGCacqOg993Fk/h66P3e4It0fBsW45TseC+tIhuTTeMCB2/+42YKakXdRAD -gI3UVvRNk2yxBZQEo8r7a7c0yecY+DojTd1KsAYMuCgErOlmr8ODWBnN72HDRxCQjAbqNinS5Z8p -eKcdh/lpAVrx5QHvTNaxGyR9ptcCfuimHllACtjny95x0sKITFmnuLz/fqZIZ34rwW+Spnu6n5XC -SwpDkNZ2EpKmSdbcTNg5R0y0/zHO5LMBlaSM5qBSKQjX+eWDOP7MCcYb/rWZMvOS0J4pdx2iXdj+ -kbXXbPKNNTKTRDO8mJFkaRvEJRevlD/nHNEcl+2flNTTDP+3aIn8BMQnOSuQ2Y7vMNH7aYTPnfws -LYzxudm0Kj02W6wnHOGwZ+FKtd1xGW8hzGU/9qOHwIH2wqtmHNq/UErKaZcLYu4EDOlNHkLzMeUC -OxwJ6f+eWzNKs0YeakM4xIxhiHsRcevfxjchXWYMV0ngt9K1qJLFr1A8ECPtVc1mMcdfvVM4qrFC -nok7Fw/3qwT6MLsbY5u8RIj0d3t0+GFYD6dtX+OuFAGwQv5qXWPe1ugeo30HbkYU5saUo2MQ5p7L -m4FzUIJrJT+PjtDHsV5fwEQ7DlSI6xY7qmcMhwPO9rIrnmZMYzKMAUx+9AKUinULcFBaiVb5ux3U -D14/GvXHAW1Rvc8EQA6JApmwmp+ti12U9HOoxKJCtmM/NLVp3LBZxXxYoh6JmCtMZYeS6+rgEOpB -r0CkrDfgqa1iA/gB2Z2hSpEZXWSvkoD2+z916x8awaw0An1JmZk3xOQSvLp4AcIBJvDkYVASmXsX -6GUWjteuwE1+8+B50MB8A4wS2bpeDOKFWVSxA9yc+MF3ayjg4NR27WTMY3jJNXLuYPkdIE4lYhtp -9fNDG3R0sK741QLvvdK4K2Xnm/sDJtrYya/1iFNQtECm17H+QAGtNK1jvYqd2aw5VvtSEo55HHkg -WegCWKgibVPl7Gp7R1/5guGNQfrLYRWROIU99vujOKyTpj1rXbL2zsOiuNq5drmVluDv0PLt+IvN -rEzb0l1qdQILZNf3FCinLfp8sHRRM8+zh5D3uQgW2xU2eXUFP981mRf8qJCytvaYt+oWLs+m2r1F -eBd0peZpss8BdjKovHSUp2bS+7m7kULu1IAhP76iNkwAplznnlcd0m6j27N3+xP264YGW4LJnlyV -kjV76TC+avRjYx178I7nloYDv7SKIB5ZaN6lEPUJX2DT7KP+Qoi8Ku0xfmlBnYlrshzKceWYOGHz -5BUo76YQ19PFinF+3nLHt3aZVNibhqgEtx84oYWmpWMAcBbdkQXtk6tWAiJcIaRu/0GnFgg4z/lc -5TIyHc+df8vBBtEb+umIYO8TRIKQYhFoYD5TcgJka9BOhcl7GAuOnOoZrqsijxeQ/TrQAPC36vwP -wt7eZnAfwIfRbq/seEPov0gi8F50Kr/2PIra3SzjcmWEWtJwwDX7lYAiEwuhqLhxGrDFsjUw9v+R -O41/1RxxtSanHatl0ZTiXrZnngipkeZhBR68/kCXtRqobXQOmklyUegxGWIlsxeZwvChFIvzgJBi -+Kh4s34MzaC/PsAs9wwqeZxxlMzXd+5ipubDOwl38vqd8fT/UUfHYvacNHx4wSIqIIVx9p9lTX2R -K24+qGq/UAuQzR3L6ppTL4l59IUMxxN9WpNFgr8UVentYMOtH+R6TC5Ih9RA8jQqe84+Ju++YIxR -tdvF3Srhvbl2pzi+2H4awLdH785mjVlvWY1G94r9Fy5Hk9+mitRPEON2L6z52yhf6t3egjdRECK4 -BH3cJ/VHCd/vARE5mBhNwSD5heKYJVbvmw/yI7HHyRFq03Y9im2GtkcRw2jX/vmixno9f/NLe0bk -k4ifXYaThhgrUQTG6XOu6ts7d+ZSnd8F16EbETC68Vv50GV865aXZ0youbaADx72HOMOAuZvdgL6 -wlV5mZZCjn3DYEB+gIzyYf6Jf+rYABxxnhTizcrB0ppJctp4PbjFT3qMx+LC4dAa0hPb3CZ/x9oN -7JQ/M0MrbQDAlF80JwgQRRlaRR6EBAVn4koHJ99CSZQ7RLc85kZ1pD8lkQhcQcbrZwqV/VSAMo1W -ZL8XkMAltaK/X5MiCEdbx2jBwJGVnD97Euryas3WSCHteM+vYR1l2a5cJLcUG1LfNN7oJseBEbgP -zwH2kJyo3Vl6VLhmG51fe6cnfNQ3fKSy4lkEgRFhdsuWRWy2/JcRge0N7qIsxK30Erp3znwwxPKo -hAQqvPg4WI4NJU/splR9p1lHKp72AGTcoDcDPzyrpjbB9h/75yca0a7s3+TKi+jibCnS2m8ldgMd -N8xkQQew+b27R69NDQbo5UNYTiz8yyKXX4FWpH7reo5HPmXAYDPUtJkef7Cu6Ofdz9zgFT8fHhiU -g+ov8KqcIjp/IrpJ+MU7egGT9xx3WlIvjMrZmjf4murcrdx9hoi1qNqRUsQypJZl25KBg8bfksaO -P1QQzvKwvBxzQXNu7Tvk80J8tPvoOlrLZLLtg14zLdz79EOyiKoFq8geuv8dP/vtgZXDR2s8iOc7 -c8IxzZm06ekgmGhX3s0ismj7yyXBhuBdVh6lHlueXg6mtC5LhGY2aaodKZi8FVr47TJWXY2hiQO0 -3+dpczcG0FrhiiWAEn5VJHBznc7zUGymoJfKqUswhyzNXmuplcS1Bg7/FsqQSKJbSZoZ0svSzgs2 -HpK6A/yfNg8r+Rmi5CxSMgZB/yE3+dRCIDIujnGTtalh7ZNwMpr2wlL/hxaCilwqS1Z12Z8YbfeW -GXi3XrcMzwpXTLwl733yinY3ZJzWq+OkPIMemL/XkYJD47W4BlAmUt3cN3Fje/vc9rcNPgJ+0inq -UUXEaJRv8QyICdCw/5sk8/7jzQMngLqXB+MDO+mP8lHFD3BTDF4TwAEo6oiqz87Zm50/VcmnDH4S -hIsVIYqVEK1zofYmvlEY0gfVoQl9yO/AKeQJHfetRzBwxZp6OupX49xEueuG5HlYM0EPi8BnqzeN -qf+ytcMf+wVOQcNHuOf5/h8TC3z2I1r0pmJJEmKb2F1/9Pf9OOfiyzNlJqDn+6OWlTa/MAwtSuOM -jb5GPsUnY7g4rw2WR6iTAWXxUR0aecfILkyGquBmLhwDFU86bzEaE2Z/pwGw66+NXacInQxNzqSM -HZ68P6wza9wIF646gIlrf49+ExKBXF6u9tyyG/4v8l2uC7b2/7gSYn64WX+ML49u+lIa1sl09775 -KzUdrwkp9Bo3CSy75nejSiod+eZuHjV4KOcqksfr5Ds+G4i+sDRrA8ozqXij2OsA6n8mmOVvXReF -UiBo7A8HcyPa8IZoK1lNs5VeHgV7hrIOTRp7h18r7E3bWfttZkCMT12HclSjnjJ6NY0ooAK0nyom -1rx4IU+Ts4sqVTel2lUGEDFwmU2ZW892lj/OosrmBaDKymLdacIDT9UUNxoDmmURTfbwNckIPTmO -xKswYTCjQ61rI2krkwyKan5HZtacvlujbGVE9GoBsDqfqcmC9Xxx/9iqbCM8ARgZllHmvrs/7fS/ -/lSzhjr9gAlbnQejGkZD4991bHaFAcH5f7jcsQ8mMDRlvc6vwmd2vObaF1nEhJdElLrVyES6sDjU -nxZwmPJnrQGPJ/KyQ6houfuoJPyJ84N13RHDkh5zREJHiVvE6xMgIPNGs0/D6gZD35m0LwgQiktJ -KwpI5WpfbgItRpGGjMHSVhw4meQVNo+5PeNHlofq8l4NETENvmCeahUE+71D65mdTLL21UzvfE4W -VFaq+/l6aacBaLkrU+wvJ4jhdOUaTziS2MOuy1gZz65Dh7oNAmBmzEBJ7k01Jd2vyt9ACSAnF+v9 -ybAdgoio5+P4SV/T/PifWpU2NiOwBzQ3ltDYRJSP/AZtH08q10D6K69Hd93uI0k5wQxmP08HAbM2 -IpNJAFrx/IsAub1mle6pS39AKacm+P+5lNB78oPNWWz0crBwQEzuqqr4rxcz6MrHYm7OOQVVMeWO -gGZfkdXfdkYk6kQoPd35pG4tNoGOoWRRFcNlgd0neTjmXTYbIK+lM60mHulAlxOmIy0G79iV33Ll -+fdps+b1v6BZb6YN1kCkHLPimYG73oW+xrC+hD0YEvGHV2jJgt1CkBlGpjAeqjPz//TSxcVZZo3b -4pQpzK7Yr58LAgXG9+Is2CRKmDx7S0TyF36UEv1uNJIHs+oPl9ETBVD7/Um3y4/6nuMYPF6gOG3p -cD8k2yarPmjv/KWpzsMDmJ17zK2K8KyM47UsFrOmHDIiPur7+6qGK+H7dQlkoZfygpk7yMBdJodA -dHATwiXO9q2W5+2Ba/4Kq2ER7IOCQv8v4xxtory7DhkueZo0Z41kElnxWbt7z+BXYcZbrWuroBMZ -254G1pz9LmUSy21pAeLj2o3FZxcgtojzoSgFz69mXcJet78QNOkgVlRGCGShLo7iDkCqDMzb5NmT -LJJh+poSa1dByvHCcrxFunGIeq1p1KMusnNrND27QbIBxU6fXTup+AHbtZXEsLTGlY55LwBztyKU -vsvlwYne4OkUFDtnNl8sWKU+g2bLzdNr005MJ40ULksX5HPDOwhidPGL4rohROmeG7OAI3z7clT5 -yYd8qW2DfsGg0ztosXe8F2Rb+zIiUmA9TJrBCuNLF6hO2Tq0QUjv7ah82cvPTuO9Iip23Ao0Grbp -qUmKw04SQHFNu0GETz3PYU+4xJSSc3M6eZNraNAYQFLJI7MTRNgkAmG6CXn7AkSzNTxlIBLGKqVs -aCMD0Uukk8FZoGBIdpLGUQlmys5QCaGjR5hmPdBTLV7wWHdkuYZu+xKTk7qLJrz5HHO/zMbe80tq -M5mF1ypbv3CLUYj7zKykM+He6IWn/cjiBm1+65ZCvPwyjbFXRjnRCIKP4B7A/iilQW/z0ejN7hl1 -CLjz6CTuM7lvphDjV0+01ewNmbwkpeBcRJE4qiFLJAQrPXnlsSIVp4ip2rZjIBIpGpcGORh0wRgQ -/4OTKOsOF3TSIOhXWnk+VrQWvNaDe19ktgBsqguHToAZsqJbnUqkNpkiARseucpu6TV9+QNKqIGA -REDvLXgtn9iqcIryg5N2T4LAkUrhGPjXIWD2ktM6vrpKB8p6h1EMZEDmFSG/VuF21zEYuaHX89gG -6gtOm5ziGHytl/vzNPl21HtCVJXYubdsp0UP45AL5UEv5rE7NzdmQVqNXPRzaqEy78V9SdQFXDlj -JJfLY1O3XMxXTXpNvkqCdLfvldfpvu51x1VbJlNorU5bJzDcfGX5Lt4frPIgpjeNFFSmzqjAelPG -GKLOLcGPoqPknDY71K1rImVOdrDq+TMaeY9vATZysvNXPHFdEd5Qev7BALIzFQtRcd1bm9b835tB -o2Z24ILhbvkteCjwjiQxQV+u0yxFWU1BKTicga+Jf/wfFNEYGUk0uoJhH2tGtAKlQMeZGopotFM1 -RDER6RZCUedN6yVWxA/6Y59gLBWo+V8aLSH07ew6DAil7p9XmYVJ1p6GQrgXGLF8e8PunnWhsMHS -I2B5fz1RUs4YoF9vgsBO9euNDr63Gu5KsbSlUCwvJSZAhtzLHag1TG4fyVUVmvFUmDU4dEvoYkYg -RHquG2K8uQ/FVnpvxqHflCglU1Qvb3GdY0bHCrFyshJdHlwTBFZQmMoXvWCg/nEKHsGCsm5MLqwh -9XTjEA+1E4ZfSFpL3M8KjYga6yRjUlxfUcNxdJYwJHySk61XUOMYUjGVs0/9eS3Oql5f/jWj2xa/ -yz4VJ9KQsnUldcgaQTR/euoSwv0/iVBElh92Jj9LJjlpoz0z4zFCeofQhN6s2pcU01Kvyd9EUEjh -DMckTqgH5Helscln2ANQ8DKz//gMcgcuKNpIiapygsWU2X5VebFcg9BV46NHEBlB5422kHj4LFZt -0Sidww1EFNKXwcIVAhL+RtGJQZMIN2+HMPzFnKsS0IrOyZj2j1EHRS9lMXi1fWMP+J7bLude2vl/ -CyLBmNXcSs9ouM4WiUOk6Y1FNwlm08sob9fxaj4bao/+pvBFosHDdKV5fBA7orW1pHp1Y8mHgGra -//piIvk08CDoXMvBlA6eB5rfgyAoYjvDvGsHmhSbIhw+uoC7a3opnCD5GSPcLxEveNWx5GaTakMh -BBsU1ur3XoJzf0UK5DeJa7JLyj/LP+KDfdf120Qpyq7j/uQpCEBmQMdvZsOVXB/yf5Zw4MK5w3Nn -U/Uxel4KC3IpFoPoHct33SLUO838TnfX1qUMhwgp7dKROTJs36ukyTC2XMgW3ZdgWQlF70Ei/inc -Ad3G24KHmj/UMsNlWIaYWEFnFhSe9i8x5p0NqLbs9ObiAsOGVgbl1QTjJLY+NllQ/a07QUqUVWZM -f2jzG/O9GIT/FdR0S954WxfvufLdCP245DJEnLNKYGGAJHYkqBUHx/RgsJ4bXWJ77F6PNtPse78V -0kj3V9FnhCsk192Qr+cWjQKH/5cj0z4gaW2qEC40SiOrm745rO/21P5BYUdJfbItpkzra/sXdc3V -j4rN1KdYt9ZYme3Bk7PsrAdkB7MFX3L9K2uZGQLw0VdrWBd+JcnltUDe4TQAwHU7o45kL3Tlnd8W -l0CDUI5LghbyDOs7yb/5s0V9unE8mnJOMnoB4SzN8oToX1SIHsAJYTIXrYEV+Xg1Jrmy7/qgFmcu -9++SXlSxw9NRDY2zeAyoe6uz5LnNDRRivbRL0Ln8EuXT0ECZdZkU+nUwcjCx2WScR9uqb0KmECp8 -PxUWua4jQ6vIdcQgNFjMZNwowP4mqxaRYK1MJmMHtMIGexzLqc5FxMRomCAHbIltPH5pKWEQuznI -F/twtoZPz+xkQLhjBo28pfY8I+3tKglE5fquUmOmquJmYtKxQz2t4Bb4Il2rmPI2Lgl2HWCLNjyN -BGiHnN8MX6EEaMiM4WXMgGQwyX1kc9DRVRnPUM+CGDbV8ZJ64e+zR/Im8O3kx319pCDKUPEjGbUV -0+tqnGPBkWiPUpKdWGrOhUtby9zluq64r+rUsBb4wTbZEK+u26U7I1iJi+meR3QYaVynjCdeeUqr -1uvsQEtGbC69LpmtqsvgGd2QwOaL/Z9AsYPnOflhYKh/KBfYvP3ZVp5lcMrFEirQAFJcA7BDbLk+ -hHp+OYooMESfrDAynU0/xl3W+TSFnrwuU8RbmulX2WkZ9E5p+NbIJB3cT/jHTqgMvuxioOBo2mr8 -nOK4jDB/o5sj7KivXedhh0/FjX6/1mg8r5o+fdxpufuML1gH8LkWvoQqecbLHjadDQBH7N9DT4cJ -NuxWxL8B44TEWsL4yCV3OQnPhwmKkW1gXoUwv8Dam+SP2+jLwdpUzxVVhYgS8dUtTVjKv6UMa85+ -S7EPndsgb37ep4W17G2W1h7855Cdou8RbFPaQH3j8sx46KqNFmsqA+KAm2r+dfB2gNdnD1lStVTy -a3V5S02psf59qcaytiazWBtrGoK1OSCZFWclmjS6S6piJXv3zjikIg3m3W+WPsCuG8tSg+hfIdWV -eGJ+Rgd/a08YU/OrDlpJeXqPQCkEmOHJ1GEQo6O5fTF2t5vjCr3ph+AElzr40/y9hjGNK74XJBuk -Wi39soM8GmEQCOmQ8SAbEmaLzx/dpaVyul8mkDEYBlyDg8Zxks9uGaS53ngPF3QMsEMNU9M+Ycir -je74T48QaA00+PGHgtwBFvZCvg03F5EFiEN5DGCgjFEs2sXgRTQRQ5ofGZa6SWxJXMUxa+hKT+SK -ynKqpLtKkHD+srmBPJzPLaRTf2xgE8uAg7ZULGuO245Gx8GpL7D1cP4bq1kssfiUPbOxh1h2xZ2Q -/bLaL+nyfgYHFbPsBizVbNAqeHsw+uMRQVudC7ozTH1xmnSiTu7tQAtb3TBb5wfO/QULB3GFhT0y -frWA9eDh914RHxol3Nbyc29XcZCp3EbDmBspwGpIUspxJThl4+FVcmZnWjIhBAjeOQ4G+9bibTg8 -RgqKpW9NJYO0XpyvaydxBchizx3juayNqesfxKJTp0O8H7RsciWN5rs5URakez8tr42s6+IzFMR0 -40n/Ymhx8eRT2zbWtpXzzZiUuhAAOlyve9UohvVgeuH4Crf6khXPWa9s5j2YTerRBEcwQpT09uq6 -gvsJWdh2xg8si80hq4awRSUGooZzzrZVGVLw88sg69ub7pVsSp/Xs0ONWtW6gHzwEb5VRdN7BBzO -XHuCJWpqQls4eSzVEfrU/Z20pGiFdOnJseSzysVk6aZYVsgUDx5q4zEhJcXre2dr9C8CM6/5NPa3 -UWUS0V+xwtQGo6vGx6KzhkCqzTSZLHnBklwSmtx6BxeiJk00OMmkr8LXHYwX2kVGJg3lKjlNdk7i -h6wc1vsBBRII8Q/ZdK6mIfkzcm/ZOM3OAA1Q+4Foa0+7uqyf9hh1n1yRZVYXAn1uHVjdQbh1bNJI -M0CugnlIzmO0Eh0a/DTiV9q/ufG+WoUpMJEvJXAOfznaKflcbbOJIJN97Yb461j6hqDBmuPbdP1o -8eUbhAHb+3esAx8fK9U13s3alHDgNEtjLn8ML1hhRCXwPYOPtdA7VXwW7A/YIrW1868bnwhaA+sd -29B6spMgdYQpf1A0Q1sEhSOngHWow+SmrowkkqORAXOP4I3cSI3iz6YwFrTNIQSdpk/A7pVkK2po -PUpp/IOPoDjcT5W2aerxDXlPfNFX4wbiHWHIGPJhdEj8sp4Eqv+V8HbgxEua4nwUVW6LjUAejaPi -wFxPSyjEYn1fbDJJb4CFnQg3se1/MZ9WM5OwlZQ1484o9p7+XmTtlcmKulfRgUNFh+DxluqcKa5q -Sj2M7fuh4m6nA16Vt7+hHD8yKMlDv7lnVz1p44y8mCJ3ju6arPaQuhoUYqMvbmGkvnEkA9DTwGc2 -T3pwcu3OB0X0qfwjDxsIrB/KZQTyKRTKQKazqYz7ZWjl1PeQSrmRuw4zS3luHV8FUi0QImS1tG7Q -U5+pZBSGmnVrysPDLub3VM77Ow8dp7g43CXgYcModiAcgJcu6IlcCpRxb1JgcKYxyXp9kf5LPQLb -fSLqV9hC9F6riA8zd6xcfZ6koYm1pHyZhJa+0bDgOpWx5N6i72DDUGFaUa4LZsKfhtjH5V8wFgae -p4MFtanv96ng4/t+6jNOLuYpHBBQajS7b3dFnMOvlEJdogVIR4WMvCVD0UnMX0qGP4aEIKno1fbV -DDoNhp53DfPzy6EW91JncDBGd2xwS2VBWyCVLROnhYk6ETtKYa0R4gyBscN3sAoaS3cYxFRWrRbb -OImhWaSCvpA3/f1IN/w616EJ2eAb6CEUGbGrg95q+dfKTbRKhiceFrodf2QDMVvB3AqA/Xkg346V -iGO6uzTSwGeGiGjAbypvA3wCAGWRMC56losPtbh4pNEPdG3zvf469QhI7hPPYBNvq9iu3Qeb6DBL -umzcbLrnhBXEa1VEnf7ZLZXloG+d8UK511B7FnjhLAElPDzyiLYLqUJZYdA1CmLyZf/HGDld3/zj -+/uWf3fGr8HBDplTDRIFEP4BfT2qYEaYpbNnVs6vXRWZR3QDfd7ZalYMfkC7jd9UlSEW1DDSjtI+ -zFjec/gnGIByuWP5Xn2mtzdKhnkgiS6ZQoOVb1aLWcuoES8hoqTnMXPdke3Dc2k3AwVjwDMGtjRo -qyFUgFcz1WNdwrm6OVxpBq2RAQa1+S1VEiOeqsXJ4XXEQcj0ZAQxMPQVZqv49yVuV8WRmQEFMxBS -Rz4yuhgE69YBQcf3slrbGuai5WDKN1U63Mnp/AA3d/3ph5DyeWp1WcIfWx2OtclMOWyC+otXvizp -18OYFvnQivjucVmnvgLtcsYaaTx98SUJynmO/KEkDiNTqMNgTChlI0B906164j0w1nIBXmydMMAZ -a681Z3218S6S0Tdi8rD0HmXT8yUK7uG24AmNHEtkIxxZIuyLJDWzJTp7zUFuuilOHq6R34kvy0mn -wraH35Rf5+Ztb0JlbDb+23ZI9jIyF2hDPJ3gfZJh/eASh61LcpnFUwn0wAr0N+/wBBCNLUd141oi -WKmIzGF7dBrpd5N/kPi/q7C2TV8mjCEjex2di+aUQ4Vn3/s2SWpHBtxHC7xcpkmLubnxfmCp6pkA -FX2tS089tzWnOVh8pbRg2YRa6n/eTGGn2f+jiqTN/SJqLWtmu0jnHlwEGNzKjnsCQonsoZcT1vBo -8VAPCx89kRpJwin+AthOFqQUh2et24PJu2j6uOllktYI8+DVWh3c7LoEmAkK/OYCwCocWl/Cew9I -fbaLE6MCCP7LMPEXnjOVvltJXQzc7H/xvgu5MSbggLJatQHaYDMCjfb3CF9pzY8wwm0XEiqeC1Wx -y0xoqrn7++4Nf3m1Wl7DPyq4b/0IKgl7kS9TYNxf2nhR0eKzLtctGolIQrdh66SJqiFGmZVNOg7Z -J9WsA+w0fXno3+jhfzsaELnv+NqWtpumLEP3/xe7pqU7prwYePfWguoK6tnxD28RWK6FozOhypCL -4jGgITBF7aInxL7R9hr2bPY5TlGR17YtTdzyBXPVKrWfqaoI6QxbBcXLvuC0b0M2Q/A1+gZAGMng -4Bs4Swn+tzeOQ70TmnQARHY5IEWcK/geV6Iqoew/p5HbBrdgqZ49u0MpALkuTjd9wiYyZhWCTCAZ -XAAPZZuwGFHgKhbTy+lq02kinyk3fQLRj4WW7D8YxvLzSHGTfyOMSF50aEjxALKuQSjGQYAfn4nK -JW9oTJelql6LRMi0MIhOh3LcaBFLkIJP74hU+34gMPE6zXoInnZ0IAewVI/7iC/AC7Sw+8S4qsjJ -YLtahpgY0QEISll+XV/4xirAuB9TbxKxUklEna3aQ7/gGBml91l65Qlw4DnjoiYSXWin1qSZSd6o -OaaO3EHMPXNYD+hvQuP8IrS+OyBOe/v5LB/0H5BZpec2byBTfx1Pk6ntNHK22q9mkN9/ZXZyCp7y -capQo9j8S9S3ESy0/rimLAtOwesogpaxqMfNQZbOWXXBQJmp57JdNdUINGuu1EMUaZFDa4WVPCud -d7y0dO6ei/O7hM9Jb4iT8T9gqYPhOANNBgQXv13nfrTCwchYlAQTLAiiYCTGrDn5ea4bvKS78xfq -tgV+K98x4ubY7rv8Plro1aemKtOsFHKyQKPPaa1GTLQ47rt55N0wWvQ8k0+BdNI5ewCmbPD5wkJG -peDOHn0g1CI+19fppCG3ZrMMUPGS1zshsvIrb/Ox0plAs26c5DVsfM4B1UKH90CLi9YZLJBaP8CG -499h0JmJv6arl7VrHGs5DMvW0ecUqkEtD+KMl7FMjDBByYr0rjJiak17I9Oj0x7FwLGHXuCN14DU -3/4M3uCVO/p5QCLEQmS/ZqhFkBpaRLz0Lj992XtBPg+x7IVlZEkgdnOUCa3fjTLrZPIHDSgJ792i -7H3vZMmlAF+yYvfxLBoPcPr8C3fg5sRM5Tbs/xylPcARALsoM/f3u4R8o/0hw2Upx3wtpGZjjGg4 -KZnuHYqN+mPn3AOXKLlv0NMjjyYE2ko+QhFkZjHJGsNEOqMbCRfic+NzkKRsKq/JzWS2s9K3pRYv -AKeYxx9DceuSnfR8rsM4O9yllFYSPrHrlXg1JbteUU1yH0aT1MvatNruvBp29RRaesTzrInuN5D3 -hbJPHowjHu1SuJ90/WU4XfUVN26OVtRirW6Iqd67Q0rCbFNcwAMXG2Pxh/iTGPnKoTummmuPRsiu -Ez9D/6cnj4z1jV/hU9VYNqb/UmLY0W62eQD3GLTYi8w+PwnXGUTv3ReqUv6xrIjsKifp4q6a0WnF -bbZmtrcZflYtqE28Tj0zx2FYJklPhEFDNwIncdF8ZrnUVf/b51lfUt4XWWlqpXuIRch7WykLez/W -+LMGfWGJNE0ejKlRu7j8dfn+ArlfWOjIyAEhvI6m6jrt1VP46QmWna4oqgXTKxpwbJCjFGknbVIm -1cisWtT6pjyGwv+yR7vC5QTVbxydqcxutQHJqyixdywEpYagK2VLXSYXQRSIytXLIMaWg/MeKRDV -+8oMQWUeI/ZKlGdTyv9828fLvFn/wgzVcJM0Fi8LWgrnQOM8DRPGSlS4e1BcqEstoAJnFQkmHpyf -GIiS69UGE7+OW0mRS8gGEo5bk6JySjH5V5Ns0AW9GR9y5qdkF3SRtVGNYU7F4FBFADZrxLCZbbBO -4ZhTkcW4/P9BgVrAR4PTx75w1k20W5G4uyis1hkj2qiPQc70u0qT3gaMcRMcdEPPFO27pKr/vLB2 -yj3GhotVfCQnAKiYEmbOiWjSRMeFKSH2zJ6PJyxiss0yEyn4Ighn86kzH7WUKQ95h/N5prqKeNIs -kj0XeMXhRSuIea1SW9orJI09LB+HcW9F6MEZmmPiItoiE/AKnJcm37599zbwG+k7Xh6EMOOjGea5 -mcOv5ekhApRtt6kNd7ZmxSvfwzMHS5VWBiLdlw29oqzaJRpgI4y9OrJd0z9XFzu094AvmMuzPRKA -oRrC1dYCrdyiY7EbAvLFD1iJffhv20LXtGgQV5wkZ6KTqzp5T9TIju8OzS58SkuQfgShQ/+cqki5 -4jK2flmBZAtymFO5VuB8hQ3jsrb8ezLVACG/0NTRYAgA6/kuClS5OWcduDCAU1qhA4nWEnVmz0pf -21DHkPMGgw930i2Tb1kSRgmF+B15aky4v8ovecSSrybJVDxXPE/vVVJKLg+yRgIayOrj9tVryl+y -18SRBW3wPvRE3WPdxr2Z1RUjgx8MJjqePYBs5WiGPpNzQFyMGuUuBturrysXVprPNc1XB/sNZquX -pFUqUdoxM2dhOkQzhcQ/xEa9TcV50CLpacgkEouS6GUmnCe856rPqRpNVz/teQCWN9srBWpRmd/a -BIUnhQMYGN0JejxLEqZ77oX0NbFCEUlkNO48Ls8phAotddKieeKiHtOGA+SPUj7LhkFgWaT1XOdi -DElCQbupVBEIjdK+GulavlMMvlXhzvMUDzGsZFeKS74Yx4XB0vtEAcBQrtCy+l11OKhUVpWFuX+N -ta5vaKzF5fr5+Tc9pJG1NiEWxRy4dDX6c1iPDlOLB+s7jYEiLTrmhDnu1fWQqAhXtf7DcPFEnrXU -t2pU8x2YF9Gv7fUpyAopXPrtnyQ9c4omfkAaADQZEF2r1p/WAIsDjXOe4djNugVNgVq7YcV7ZKvJ -jovtts7YFJMc/VS3y0QUadwMmdinue0W42O0kUA+R85EFFyWuoVwvjg+W6IeEhKv01vAyFGcFr4r -lZWsjt/8etmRXuisANewLUFHeQit3rEOJcKmr4gqgdl5bqLjqQpP+qe0YQjq/WYrm110QHCGNp/k -Y3G53Zq37GF3J3bdlIgL8jQewetIrmmZJbg+BNqhScgs5PgrY7H31k8T0KHlUCluYz2Y89hWtDqH -57FSTsqfFnrpaLEaGKuPC7QvxyDZ02upjExDK0JRmYhwx6WbL4MVxgJx+awdW5cyrqKqbBjBIzCt -dFYv+WPF2P8gJoWBNTDpWe2nSETTlvE4IvoK+uUnab+jLW5C2d9+KWwZBvZcSth0FLP1L1fkR7jj -wwuptq4IQXWMLxFTDhcU+QSHITOiaUFijQJlbLBL1GswTZ5+8YsPj7eQH+soHhfeKCXiJK6ahSBE -KMazrROw4mlvCaVu1Y3pmpOyEsNi2R8sDGz0pF+4xEPX2dF63o7djd6sCbnUhiKwDcztXUKIMXhe -hKkrEFLmsCy2rC1IN4DFrGDNCI5Quf+4cabenLEx64feMPC5K5d1uIQDVkisxgqU503AIbq7nhZW -3mrP7w2mGQLF+lMtY1ZpbwhgKEh8l/wddCqQkUexlAVnNK71ZDTt2IYrkM3hHLmo0CVGPHt2QPBj -1+xxIIU2LB0khgZFSEv8cgwoZ8+5KEiSM+l7G4K3kCYc2xVfEsxHdTv2JbHqxpIeAG2JHNwsD91B -/l2eB/ynv/BmNywxyyotidtgzpFyFvrdb10gaXqrOYYmbg/bj8rZdYKAK5COKZkr4LyJowenlqkW -wg75eWK2Inc7xfEYJaIa3nqRhP1AcciJ465cBQtjqkpRv3faiQSKTiTk1ZtJukoZjN1soDrDd2FS -vLWvwEpPAmq0strGPYebFvcs8PmYdef1M133jsF3I/9v43O/s3GxoBKSOQUpB6MDqOt7cxrntNzY -88hoGLyQjr4PYa4zX/yIWPqiDNmE7eSsxXWpE07BcNrlF7A6WIovFs1AVh8ZhLihTXf0qrM7W1YN -FrD6hPjmVqZCiiz8C9DsHRmR9krfiLReExRrhufk1M5olaDOj275UCq19XRbb5x8gP0OVTPe8ran -BjJQor74Z7mt3aqOpxFWkcRF37eHOnpk/76YuBGh+jtj9DazrIJSgvcJkrI1jhIFlAtONXQ0XrtZ -2usNmEkcfgNCn9wfibfkLTeJOXCyvi/RFBQw6vLukJbhw9Gea4JGLjpqSbLJIlEGCmnsxC4KBpPm -hlIOFqtaKKFgMIJBVtLt3Fef92zl1FSUEj4oNE/D3fChoSM0/HkpTcYVOxUGpaSGnbY7fUN6lhpk -P/sKPw9DUWtk9pJduH0aCjbxOeNxkVeHdMqRPbdmZzbs5VN2QyGy/rPyV6BZaLJWImVqKEWUCDyn -eCHQy5thYWQHmwFABsv5KFCv/KcsXfoUcL6RIwfYeB65CAb0xKTSY0Jls/gU7euzsaXKaEDSm5L2 -C3FEnw8yoA8yIAgB2PqQDyj/STUhAEvCUSocdfiCex6Hen/D3RT3AxOxV41HzX1N1JUf0RAXv34r -cCww69P8OK3Y3IJFcm+77hqzDw5DEVERYaNvTXNAtCchrJIBvDbQCoM5CMHb1PYOnGOtU8ZQrekZ -3IlBxrU+2BFsn0WprhbylL77xjHHxaOIZ/lar1GLn5C78Kv4J18nH7UMhykhdXHze4svBKyG/677 -Fr2VggTvZC65dEaSNqFVCrpY1PALzn7D0OZDOzF4VmJzre5XVb/DmyuNp/iuWE4lSE1l5pjvs4LM -sHCHX+hexesgpRsRW/tNyog0Emg2LVpF72qdpkufOi4x3Br4j9PNRVYp7N5WXqAOtlDteLKkuOic -sXz15aLPRVVGkYo+3xQTpLq9O9/yIawldTE5Ik1inZSr89/bkpApBCMxcmm8Nr1REiC02d66/pCj -s4NYwA6DusDb0astzPLU2ruyW3dm8UGZbPtNi4CqzIjsSieCImRCTOua4ybXu4Db88uN4xy7V3IY -pQnmHq1/ju+RPkI9OU4O2JCttc8zt6tp5lN1vzEJJparBwRNMP0W9U8gr5FmDE/YWXPgCgE5NsRe -wa2g42XtHaU+DBdTwYjUmNCu8HXeXyjADzpfu2Wz3zTQox9AilzH89VojLi/4++QCAXxWQGW1aTR -sO7OeCpZUMJskOvjWsp6vx7p1+x4uvtmb/xD0e6HQS7dLxxgDmGKDUGyp5x7TpF8wdIBeMEPr7Hx -Q/FCvjB8MgrHrYJvouX2SCQbEeGqW5WZDSURiXEf2jyFFGw6tWqKjrvYMpet+3bg9UknvpSfvJzs -1AGEwTsTWi5K0PbbycgEmTdJzK0rAb6xUaPbB3jlOZ6dRPCpGd7EYshqKFKPsMBi8YUzwFBTXouq -pOd1hLAmbyN04CW3rN2ki4IBAeZsWLwtmTLFyWe7hWYo3Rud9Hqg/VdARUzW1TC35xPa/8MdPr1d -sixNZQ9/0ikYxQjtPTzOIBL6/vLN9aNVQN2r2M0ywUIF3zQ1Rkdf1VVBTKLV0/8s0SFO70hFGIct -b1qlTlIvrujTnWnWlanNCU1tGTDRsyeD/vWIGdWC8f6IgtxzXccZRXnLAcumL0ANwspRT4yzcHo9 -jWtLNr354W8af8H8KEAzXtNpsgKOsCbvsDv3c5vmpzuDZIJbK6A+psY9K4oc4wICLINMIaka8JVL -c0vliSQyXflqAi6Ic6ojNYHNQ43pLh9SsW8aVjiUIuELw3FrB+Q9yUnlD6D1O9C7AY7G6mNB2tqU -39yZMiW6NxNwnX1noRzfa5FeYDqRN5YM2tZS1JGuSqTbtZHLkYqbqWmeuTcDn6uTKP8OFwe/dmrK -8kal/OpRNLmxWcvkop7jNECfvj4nN47UHRfuQXj47YgYpAB03MOnWDVPHG23KfE6sug97geaFSSw -sAj+0VifETzf5gKbclrPBjLe72m+jSlMmHLyHkwfMhiY3QB54tkHRLG+6LgBi3n/Fs36RGP7yTPs -h+1eCpsqBsW2E6CkTcedU8YYvIlgqlgUz0eilItxDohKqbUBDGgtcPYdKoeVvAjcHVEEmXVcUcm3 -jvoHzOBmiBvldS71uK7+PngolzeNAjh0NvWX6WvpHyk747DSk5+IWCDljuQtWLXYkeFVTeOcoOZD -W35D7PDgTetQQZbTdTs6+Qn5Vbr0eh9fUepKRvdMWm6d3cIAuu7OVNV/moibWmu/iu9xc9BaMcrR -Tlic91MINps/V+vXDEIIhzLeTVvcXNpZ91HyDbbh+DkQJywRXEFXkGLWtNvG4CjP7hCtW11HyKRE -9Ha3Zge5wDdCl9xafVP7p4ZQNXiKZuN4Y4ZixxOvNkOf2xRUYIkVQCps4EYNsm/U3Su/5JBQlCWh -9i8yidlEwNAe11BL5r4LVyGVi0L1FyURiqUertp5oUptbMq563+b4Hz7Rh/h18JfCzvJjd0pDSHV -YOwz7+vkXLVZoAJXOB5/Nbj+/g5HMAiOHyRyS366zAVMiFLbkqhX1CYIu1V51kpT9DUAEmdbC+yy -Z0bXmdHWTuaSkCupChs1sOaSEdwj56ihRre/ZIknSOBsNQJOpibxUN9Ekoqblsff/54udueyRWhE -4Z9nsuKxUkNGgMrQ1bGGoCipoWRkULz5BIE8lwIiebFZsYy8FDRRoCexZaRzwNnNOihaS23THIS6 -QgqSDkTNkKQ60KsZAtFy10MwdCXr3slTbK96Q4apLS9JkAZy/zX+je591RY1nGMx9p2KmKqKyL9L -ZM356trR9a7xhUjANWXqJdq/9QPR/QuoKYGrosVgweL5C0fNZ1kY/hdYqisuP0qp/ho06bNZLx+y -+/NkGGoHVgeAYXuLqCahPORsvcPmX6OuXyBaJXNFORS4CRzjeUEDK3t+GPTAxhEXSFDOVPRIDtF2 -aSph8YJKbi9EiOwAiyCe1os+VhnITSff1JufufAAT9GLX49QIucKgxvD3GTLDkQi6CqTMnAzdixT -emiLz/rXFOPBW3lM82JKEj4F9rC9+RR9c3WoSq0K+Ci+2Y9KFeOM2yEFPgvSONjMkge612d8CbYo -QQvaGu93i6azkOFWoSoCOWXcEK5DSABc8fGhtdvHYZ9toQMB7zZ1r3RkLDccTSB7ObudE+AgESP9 -X3cDPQjQxGaWKjC+VcHyUgSrn8d6IOV5t/tMC05jxXqgfYnlH2dBqyzDbazULJxWhYxKnZybbwa9 -NX5W4xqGGm5nac6zmhb5iu7IXEQ1ebssn7AQ+9MMLMET1M5vPz0cSEsM08Ql1/oGkaSHigbF5148 -KYKiW+hoE/UM4m9v6BNe3+GhoPMqg04jhQiiWuTlwaO4xD66HxAv0C7fhTuvdqycUfDo1SNF7n9U -O1byY6otBDKgj1YtT4aVrcX3sSgv2GsAWxZgwlM54zfh3ne7aQmBHcDPzycveKs1inyzC6d9a3EG -c9yCt+kSlD1PENklImO7wknvt1QsqJQNIxHKi1iwLYggbpp0CsFZ657Heai2lXpuN9AYPuw+6NYQ -YNSxsTMIUYxdNdzTHArRsibZmEzkdSBo4nc7L5alyooBPyWLqayBwCRsPQCXe3YepxsiOxXSD6Xv -/ZGu9nL/18VefQCefW0rtBEbIoGThQm2hZKDAVXDGTzmwnG46MXV58fB2gulLQ6IbJermx0VGQOY -+oT8ulaLc8epYomZKtYXEe7pxgM9/KphRoXGVUW4lMsYelU5s73K0q1FlVwKRlwMpAkOND79frGS -KFLlBjIoocSZNZuWOvivYvJQeyWC5jJc1nmi+HKSjxU5P/hf0jBvNmOKNzo/iWrDd9ETGffhoEd6 -6rmgNTvYW95nVDqEKusBk+kHjKpMf5eo5VgGgU9fcqMdY8gQ5r7zYw1qC4weU5tHwHu+Lwqnsqmo -ChrTDvbNrYEuvrx6mowNQN/E7TtTmkpjEqKLKSTq3LSguDBL7JVhROpmMI/cCBqzmHjCXgjdaxYW -TlhzFPxwnNIZ9JitS6lwIv8d7LZYeBpR8Lez/byYZBzDRarMciXkU2ZPz80IIVOEJgZYGB4u22DG -LpYFTpl0cgpNgSgnXtBUijUjXydWfm005YuIjW8u4iCn1PMrUiqh+AimKzZPU/UQwFBsmiUbTbMq -ZO3P6Bwgk010bIX0GdN11dnzdp0LjRKJWWyXyE+kSp8QqO7MzQ03O00DcV+lW9VSZ5WicLovI42I -Q803ZbJ/IjsYWGSNBm3vV4LZDaZLrbxbkT3MvfwGh1K4yYRDIqrswusJgyZlB06vUtD/7zsCiuHa -3kICdgagz+UmNnH/QiwZD32f+txyDEHy8/J3qJcg/7vdoNu4yYiewwib8O6ExwMpgadgakYdRhZD -BesS648L9dAw79CVzJMhHBHAYAdEY8u/3QETc5H4BDYilaMvSbBNcaM9uqQ5YTYTuJaM2FFSYtFs -BuubhT95nF7RXC59qAkEhaeO/ccloOa0fWfN3SYTaF0oH1or/ofSNFdE7XMYxncJvMbmZrvmTY+z -Cd+8rlKWAK3PuMakJjVKBwbsxSk8vUhD0NxpxBD07QvPSPisvUyibjN3dT7ETQm3NOsTs0eVf8hV -nniyJ09FyffYLIC2Wjuun6ediErQ/ufJ5d3QmQxr3h1OVw9b6AeCIViDjGt0Tg21K1UsOeWt8tLs -bVunFZKziRG2YAKHbK80KAB1PjgbTr5Yehociz9uuyClydw2F8oymA/V5dOcS5bK5LmT6BxxfdHw -ix+gLA1f3ypqXi2FLawCcdAH2ISLZVHY4OLb81joRLoG3FFU3G2e0HHSjJDtWWATZBfr3PL2LEeB -LWgTYU0Pk+chrWfnURjnCZ1MBnKoa7Sq30AY7M69DOM6afwmkYPhNiG41JI7+H1Sbkv/5rKAtx8R -qUd9PnVLdoHlvyu4CsdDOCu1KMeRk+C+7E1pwH73AddFUtxe5vJFPudKVoWB8coNiqZk4FUSvow7 -5kzRjyiDFG+rN8vqeT5hKZOR/Gm52TUB2t2cu3tE0ZQn/+vRJHM5nNhjom0wlA/Gw+HzBcwIdH5X -5s9o6R7gLzCcCeKG+R3NBP2WCnvz+LEJP85O5JxpBhc8RWJGWugXQrh6TCqEAcRongL9ZUZqLcJa -DKCxsObt2k+WG2xazXGPpV4jQJf/FIGfEmgaldvNZi8k0kSfYpjX778h/K+Y3KJJvZYV75B8FYC5 -wWvvm+0CEM1mTJPO3wV6uR3EtY3Tp9bshiGQcIrJWX8yMAiJuiGS5igcaIrmMd1WL3koT/U8j7TY -Jc/GnIUYjSHN+Gov6lMTTSpXTVR0/48SKx9dSsXckZ+Yxmen8j+pC8VrsYcUHS1O685G6XBakF5F -piHbw0tn4WpX8Y/Uq0QR1w/DRNq1MxddRPgeg7MoELVMEU/09s+vZYww0U85FoAE+F5yao9oEfQO -d97vnxVQbflS8FcYaIlmPCuImlEIAmkgf+pvE4EY1J27sOlbAAJEn5xglPqv4C54403jAAOBtIzl -uaMsLQ0eeZ9pRWDaUXwyZ6dzLcH1Lv5q6YW8JY/hIC2gYMYckm1gwRul0R8V5cgQZYwzkUXR67Kd -r5Oiyv/hzAYRejPiaFmE66+S0Bh+4m0e3On/IsnT12b+9Q4jWv5HidOxQfcI4eSih9gog+gmmeIK -vUPES1712Ir/XOtrvf1hqEe1+qd6dvZOYIFbNkhiRG0i+3ARwcpfNIvVOcZunKiLzkDv2gmpR4rq -BI6zqqiaEXtdJVRuxha+tPaq8Oky4+jwSJKdEeVo4cswe8QmBV+802lQAX6wUTHsUspeW+tQKp/4 -PXtpzW3WRTso57VeUtaZvc/nDluCwbAflq/0povnLWn3wmBQ4DRKMh6eOoR5s+DCk1RJkd9vN4NK -35+AEfYYfDAHbbTnW8amsfCZTjpShk+USzuXntvps/1To/AtimbQf98qdlHmVp52unqt7g5NzY/P -FhiIOin76rP6LrdEvR5U1dCyqP1ubXALB9wx55ULyBymwDGyjfwTT76dlnajmFYyNeuRlaLjYfak -8ZuESVhLGTH5xH/euoUYEMIBQsBScj+CBSEFLHEEjNFgcHSocX/MKkKFjEBPsFwZ4G9t5msyAlMz -7IYW9Mvcz6V6eUeL4P7JmaIo1Jwu09cGsphR7RG8p0oanmcIQ69aGrYC+BeFLUD2pth/lqUq1gYb -qnca15StYnpMne10po5TiNEa2GrisWjy8HW2hL9jmdpiV1qhuEyPBD0XSPrjSsP0sytxX7+YS3KO -hmH69H2ek6Ol2GK83ipUZVaZOlrXiWHETy9yKu8bxScQxFbKDp0KvWeLfLmHcBO7P+wxHu1tMlxW -a3rae64vVG/7Re0a1lzv+4A82Xtg6zH0xlun2qzTEyVy2313cTG48vzuHTkzPryYSyS3Y15bDfuh -7s/Opqv9kQbbD/VI86FAm7p90F6IOVWSX5VB/q9mj5Ea0qzWLlUMpB4C0AokBdv6tnDy816HQ/OU -CauScw/N/cikjFhaIbYCFbRpJvUmFWrl4aUiEWSPpm4NPralNDdzJpxL51j9EqX6bDs9P1/amQxE -mpdzgJUxt0IUCoHjEz4srkB9eiJud+EjUa/LaQSy3HrTBC6F+byHmJ7fTXZN6hRPGF6FkudVzf4D -YGgyhCalK20zqFngsaBffAoZs8I1bm8I5adYNf3Lw99VbsH1cwpICS4DXyGDdJy+sKn1D6QRe2p7 -Z5fGw+hV+x9Q52vkkhMcowL5WxmCYyzbY3wB2Do+/y2DyuvQRG4vZYGpDsZvnrELZharFc3PHbf9 -vcmTxOLymDs1MxR/4FLKD+pe89kHzCa5Ewtjuaz9z8GMvsfqQo/RvC4VNWdYQWwLZedhV7TtqSy9 -2wC9FNFYYuAwqGu1vKMIGnRz+VNu6gyYqMGaC10ZZ4ETUFxTlOFkR3FxrZXlPrklXG9b91dxXucP -1+dSnGYCSCM5CDwdNnXk69ohpFgzRNsLkLGmcq2W0NDMVj1BqcM640pvdQUMT6WOEz2sKBXCGbFS -fwXFPGd3BUMCpWs6zor6cRPav5DIZkjZNTYHwiOdsg9DWxREk+GQRfhKyT+7e0jg5IEt8w8RyO7V -mDyUeGjP+2/tC89IiXI/AK0PkWZfxONRJLOnJn4baHHNjPdnLnJC3kCiWBt0ivjpjICADuK+GNDk -d7eiTgX6wtCaaTBalDCZBaJQDqXbFjUG+NfKGCW35/SJbNNrRg8nxbXwsRWPcE8zJQtEwPlbFbww -pwvwHSPWunOZw3ohUpZ57hrpeq2vLJS8vGniqv46YPjs3TAgN4QAEA4nHyC9BSQpm4mOI5NYHKMp -2N3a3J9SbG5uvVbLv9nSpsZT0xyJJfNNsv7CV+Zb5tSVZyaaSYxuZCaST/wd4PReIMqmmvhSB5t4 -JKNKqDjHi4x6TcurEUJCgk9oJqxfYoiQmOAs7ZfDc2LBHAHGM8M2uLbfw8JLaPwhHMWFGz18RE2z -kwjC69kWBkb7Kov6u97rdOknldZBz1pxCRsaagiqaOiHX4kS8xFpcBepkWyH2Tod9vBxcEr6B+4P -k9OG5cRALtiURlS/RedE8cF+S5p81YKfLbtg3f57JaBJo2ZNJGP8JwUBi5ey6rsbTX3NCL1pDr0d -dEWzoMLGOc/CTG++Q105Zpr+mHf7Ip6jXYwrRb/oRK56BWeRZUHpEQoqZI6LD8PawWFQ29ZPqVuj -lwDCqEnKYLsm1aElq0MBXxlBYeCIEQPM7CkGbkg1B8yGlmu1aIqzEv1rBMvRGwxqWsf2uEz9hZws -JiWwP6HknmpJys6y6PvPywhhOJszeDEWY8X//VNbUXrnjI3IB4Ok1c0pr6KVJr6sYesT0+XC/Ak2 -FNSsDrtuQ9UCH2IFXdX32NxuB7OUcytmyYsKPxncBCPt9l4qEn96pzEyPX/ErRAYDflSz8bIOL2t -EudpFQoK9Ybq47H10+zx7c944Ck5fLVOXF+S5dOfWu6UVxahWcC9vRvZ3kjnCMevLxjfBru/KOht -LZIJQKQS8LKPi6jGcLearkQEB1KrFINmtoXIfnGllgibA2dxT5/GShl9ZAtkIqYGAegfKqjBemOq -iZTL7NA3OUPnpr32gUkizxSJv4o62sOdAWM+0uqN9uIbXNshNK5uP7PyFiF4QW5GheK4MTARbRhy -y+4lDD5Fuvw2VcZVazyuoj5mVOMjEvhm1nLF6zddrkR8hHkx5JOxH5K/dz+xERcpGCrW297LBxgW -yaaGaFgZ6maQpCjPLsCBStCy7fwqpJKbzHgCkZZIRAsHux1hMtRlTavROcKlzKFibRydfQ9htjxO -UsZCi02xZmG+IV0jRxen4Xc+S7zPCI9a1fYZbogXN5TMApmIBnkHxUaNg0gUDSBVGUlNgGPIyayD -+UBhcGSRZSoT7092MpcaItiQuh5UzTEbHsnVrrfz4YnmC14ebaENnRXbv0qZ99XYK5j4gna3PbQx -5NSVbuhvLjQyHb8UJqh07CopNKQ7CfHkNtkz5nhPDbgRajJhtXrI8k7O20hV4+YPs+aGEvM+5wJR -eMf6Z0UZ9gcTykBE8sBadlMrIlSpNXitaUHiigZhoVQ3E9Cs/VhILfC0DClhwC7QyFOQQHsS0yUn -ml2GH+yraPdif2Iq6jVR2KWNnM3NGf5cBNbBab9Rct4nK/w940j00ShDdygbMQSoxtjfl8geinQW -drQczy/MGnoAGXUPN8uU+VrH3/6GcxlYw+LcKOOQz896gK4qrrBsoysXmLS6k6BAEjea960woTyc -yr9pgR4TsU5SlkniABcWucFljQmRoVF3udUe1tZvucoEf4/noQ7cxRL5r8wnaa2SSN3LDqt+lrQU -MPa7aKjddaAF6BrQ1wqr6OwqJdcMhh3J/tIPYJwrtSlI5QU/ZccLZplR7nF/0h7tlEFV9U2nsjTN -NbNHGi78f5SjTGVea19atQxNpuaBAe6/Po/0pRALqZYDutdyrxzbP5XQv+dq7Xc7VjdSb4vQisbF -+wExWSfbOtuOFmshS8BLGtcCJDTS6QjJ4dPlU502IGKHeb9LunTb81QPcgnOreUb9L9IsC5QDYRm -DwyDrLmnEJzrh4Yjp/wjDi7/G2MdDI/Q+VD+odq7cjJBnxcxdezVnJR2ALyw2Dfuq/ETBPn4wEJ5 -hMLO8OGb1C+OcOucH5RWBKKirBtfVHLmiOorsETTPC9AxcwWHr6y4xWyrtroI1VzDkTRE3a8YRFB -NeoBPqo+aXV7TuGe2dcHP7ZcLDImapD78NIks/DFuPcHizmNJbQvJ2G/l+qWsnGdjgFzK69kWO49 -y6woEEkNky8KZpi6kYhf/ctsg2fdbmMCvzCI1mAkpH5dkIEANwow69aJoFVJtgO3gOmrEpK8sg5y -D/EppLxryEvWfEX+XOpBgwQhoAfniaq4PHvWfiE3Qb6Dh1CxFL9vgaWm/tkSf1HW3oXlT3SpMfNL -J0UH3t/qA4x/6l09Jf7nYc3E7mO+bJaHW/fQn/JgP6eDs5aAb6qEgrqwlKY7Qws3dDFPiRcPOtOd -c1Z3iGerCJ/WDfve6Tv1tmL2dp3UdsPqATbWXzVtoN/MtPK7rgwoLcGpfclWDb/KU4BFjK18djNr -NFJpVmtkiZN2m+1Tpyj28IBq46HZxiwP48z4jmh/6MonBxrXs73oJTI/BkDKeZrxZRsvnW8J56IY -z1Vr1RrjBv1cdkS07JuG7dK/yA/7tdPmeaw7S/YmbNSvtVsNnlY6S6uI/kMPo6fqhRUGQV3OddAr -rs8OaW7zPqOi6RywZ1mlO964MfBLqoocYX+ZIVeDsBbNiTGn2yuFgAYkrA+N6slIRm0Kpc4omsdv -gNzMztSmUXQ0YUG82LctZa4CEJb91rzdyIUh3HQf8EiHuMTxJmxe44Fn8VfqtlClTv23a28dQpY2 -aE4EV0qFEvdGxT9RCKE/F0WD43wFUr5ZzsjTIiJ1C59pXrsAWEiXxo05ieicZoujXu1pt1cRyF/j -Di/+CGwpuAnN6wMsIcxwP11Mrc1oKDgZj717i0L6T3/26NOJF0kd11mrsL0Qiu3KhZ+6j+ztLK0R -8pk2bB0LpzXrOiuVybwatFCyQbfQrAiRdFy/g5fiTsJ/CcFlaT+9pSRj3xPRdCdKiE8gQoAjtT9a -Kf5uIoAuAFQghtY1/10N70SjONvfwS6WdXJEN5osiE/xABITe+Ftpt8PsUJWD/7TqhxZJ3QZCxQv -UmUZguGQA12x57gwXEvqSLIJMTJBXGxWeTTKTgrNP/ZYJMdiycpdQVyCJ/f27xQh/+FNnjhpS+xY -rhs/DSvCIi/xu0+zHSIUz8GYjtKDTs6YJ3g+INtTVvwoMINVpq7c0YGBrhwyuRJ/TvvqapE5pHUU -I05+PXKF5IMmrY2bOLIfFT0WQ1Iqxmxot2y+MkBRtvdxZQ2DEE/LhaHzM+nMOcridnVWOtpyB/yG -5eTNw3wccNShm//g8/rYz6wksV2onzR6XA7vNLWjYhJFkqkByehKpv3z8mSHkNN38Xn34yAMiiIu -d5dsrpSb08wuh7JwFSg30F4clzR6v3LHy2srbG2yM7Y8bR7YE/E8MXfQmjnON9wEESRqQ+ySqnnL -3VorVJkUc/g2QMuZaW+XtSYNaD4emon17xBzHco++2QfGOCLpOPsMsFWG0DMyGb55MdtqoLU7JTE -Vd8SjH8f7ukir9k27ngTUIM5So+iShtpr/IPDLqgdgTquQvatBntIdvG7ZpcRdpbqQIYBygcRItA -HoI8Wo+Sjp1DPU83kW9Lcf6XeNKn1XGyb1OPsy30GEnT2lzdXauYX/L/qObL8voFuW5vgyFxcOf1 -VEuEvs3MLeU8EhkTwyIDdovVspAFxZ7M2BLCMSzQea8RfkjzXI9RVnka2agNxPI22si/YkZL29uX -Pfw0t3xvS4hP0tOSufiny8oPTki+szMAFW8OhQjjcdrLFPjz4+SWxbhymVoPLXsUIKucDKIGXGP8 -flUgOAXUmp6H7o9LC99cmGv2T5DIiDvi/p6n3mAA75CO8tVQzBk8U7Wj34GyUy0NnWOzCVzCV8x4 -w2ERcdjyjDBOJflyvw2ddz9M1uvw/z1JA52UaK3z0m382o9f7MWI24bZcGNM0o8llB+byi5ALm5V -aDWaYDNpMH3PwlHjKuVed7GTFpHBWChF/chzpfAveYZ+O5SstdTuBcRsVf96C9jPQRPdGV6arOqk -aBAM/6EBrxQXJzCtyELqY+1b88hoXZWetjxpQTr3ix5WzZqILpKWy7/afc6x/xLXyw2A3tqLUFZV -hx24TwTAH/mKGArvvulopIPHKBxUdYkMOL82GpS7jARiFqbRXzf4la6uhyeHi9FuZKgmHjjzeEQf -EeEA8bh+ch0G+W4oA7V7sppsFsh7C6qYRF6CF6OibmKUsSudkwgPzAVA4fdSmfr1FchsWoz4KoE1 -YBT2QvOXfsZhhmqSWIN8vC4uqrs/j/VdR30+cCTmJRE4jzXMMo4UWtsoS08doChkUgXcLmamlEpi -OqBdQrZMJKpb6VCTORPRyRz2CKH5z/8gBxjzNdu9cjQr9v52VUZsUHmwR3GfP+vaN7dBHTlq4RbP -o8T+XT9Y1wDAfUFtdfRQAVb0SCeH0XY7P1YvSl7VtzGqk/O+/JxJu6HzNRRQaHSHPQAnzyxRFZjm -wI4ePa0Ub0q5Ww86mO0S7HZekcL+tVqzrhdzjwf7ek/mQjx7a2kmhY5IULDM9TNJ1YyuQUkgR0Dm -zGJy4ak/igXVmUA7t0g3W24Bll2ahThngeqJVq27fWVyJ24vcHXbcFTWWdPAd6CPXOZeH5LOJbQc -pPSwL6Pow4R06p0A49ibO3T2jrwZO0ZOzumLC81qr0Nw8bT3I/e/fbRisVwh/vNjb/FzlYLfQ7Gl -/LwdlGEVXNGZ44oSQ+Ne3Y+aMB+/vPAq8fimhzm3ohS+rS5f377ewWF77qBM8kipc5rJhFt2zUkI -FZ4DRQ5W0r2cC6KdqGBhDxNhORXnAZQAp5rXCCCDW7Q/aWY+MIjPK/G8zLIufABLCUCT2U84FViw -mtplecNYAv1rIbB4EnZIfJN7LSjBNd9eexs0r74mqEH6Uw8CGiVLm4tHQHF1PZ81VcAzIgIg+aXF -Td+3smgfHBQ5gmpaerH65CIGju1v4g8LlXbojXDpiz+sbjxOo5xeR9SBEDqzIJKzxP1o+JR85rXL -MVMsKnaZ7cZUbSkm0akzUDrYWHz+xIctaBbkI7JoDsHtIebLKmicD0ZmIeTlKWX2CUsvv3lXZ4sQ -i4yqbxkod3c1pKMuFNAG2KYcwiX3TuLcPxwQyoLqqeSN7uzyf7UXeR/crC+YUIeHNSQ/U3/3Meht -8GlHgOxriY65P4T1INHwQqtDNTvB/1spqYdFo+vRMaQj6nxyeX7Te1j+tx1p8gmzgP+KZtNoHcD1 -lzAPrZiWfEonSPkO47nHIjz/53sPqi3uR+nvZ6dbwWb1POulfcbPkOXh1oddTvWfg5B2K3UlXE3l -y5GoZj7iVrOWY+TxVexZt0Q9onb0ApKxkKMIcRILoxhAwhtW5sz62GuIRU+d88D+St9Myin4v8KX -JehI2FZLiFl8YIsKXXI7uFyhji2rc6VkOLvVVZJKijRULilsCtSfhYp6yUK9Pqb8+e6/kn8Avw/p -xhR72Ho6ei34c6lRd4ca3r/+jZLmkAa5bVqtoF62L+dlIw0B4w6ZkA9QNt5l7skM/ZQ7AuUjkjKC -PEhpD5PPW/TSoWuU0+ds6vY4itx54cUlCiJOIHLoJ1wxaWRZJWaWhiWF/oEyNDoMeH4cDHatjSlj -tWomosG0vbBs3VXBzX29uvXPp6dcWN2pEpHEG4gGkIW/4ptTce73evHLlBYyfT7TKLu2AE0N8gnv -B8IBuZeoC9tB03q8SCBVzC3nFGEp7P6km7glALQNz7uZfFolP3DYQvSfsVM/Hn4oT1HJgp6cQRc9 -GcHIIde9+i/CWT+jU6dcoKr4itDHT527fLxJlLjIfeIPw98QmS1nOrGK4dIyxYTGXL9W2JoXD3op -ElzVTEsHTFkjlyMjagBuRS6rspAtKm++yk2xP3f3Fy/lOv90/FsjMJQXZK49EPEnZkKJ1OPJXYUN -wLGaeIk1p2KqSlADoKoHtW2nq1HkmCUo1mfOZJmdSEKeJnL8om7JzmoDEuyQbKMuu2mSe83zuwNs -7Njjh2gvj+2D5KkoQ6XC8ZS/oz7zZVyQbTBFq/LZPfB/T8GUOf7S7qLDtbstYPyZIJ5WWYH6Hsz6 -FNqhJFP4nyVjlpCFpSxHC37LjWNt5C9qnCn9DxUJT9S6RkpVICl5b4gXRhvLvPmXFeSQb5QjpgeB -L9VDTGER8wV8LJCHhgglM+uB9rhQOr7pfPCH9qQYnb6z1v25Uca8DVgkPPeWNllBsf7H2cg+olkf -5u8pCqHzi3fEMNpdKKyvXrNVVDCutPJoOhwqbKy1N1dnvEXsWn9AHQ3ud5lwZCCptSugDzOu/xQe -h29ONuL2fg++PgKUKj2REF0NYtNEuRgV2MA+FUtEV9oly4b0rYga5enBwsTzty2x45uIX+CtYtnW -JuVa6btU4nBynFbngXwALFi/baMsFDYqo6SV76ffKXet4yE5DCnc8mo5I3+xQn5r8pZqHvPAEpS7 -az1taeRUgv0+9e88Tjia98NauOyo9Dlya34FnyVZqaD3vxFFk5fZX7OAoeicuNGxMQKuPMnL2Bxo -jB7o0lD8Ena0IXxTJAUwK9FqZYqIDBnetgwG4HDK+t/N/ZghnvAejo2XU5pqTvUc1wpFNoYNxSCU -SmD1D94jHY8dnjS1au9KN/IvvGO+k7pdaBUT9uyVGCIjQNVtLkME/Da0T09rweX2an7GnYwtrKg6 -nOpKnwNRWyXWqrwc9ZMUYcgI5kMYQoRcMud280NYn1uvXl8ORRJGXRTgjio2oJsPWPKkwhbKpJ3A -ovsCscL/LLlWe1PdNUt+YmFhT7sAovCheTGp98xqnrjZN48YQyLb/2PwLSCtZ1/Tj7W2iDjyH7WN -m5pIw0r3KLwDaGuj4rEkK3Sz6Cop3YKep0i7GHEiBXbLTXUIhxGPqPEqBjvo3mQFh5qyZRriiC69 -QZlHne6ieNAgR0Dyv2M7jZaUC1CCEbK4eecAuGZWIEg2ZdmIreJU/27MwoCs9m8smYPl6jKoMERa -PHIHlHS3N6lTtAkFOLfCxKigiFLVrGu8F2qH4ThYhbfKC2cwEUnTeYuoTZX8rVTN/Bc9Oej4/y9T -lXRMYMG0ZH+RQ+rKGE5XyG8bfSFhBYhplWNnHOqXYI/pmCJPXi8nBZNnFaADG9DJ3qfjdIuRWn4b -ljq9S9FcrccqxheS5H9brediTRooAK1N1lfGrUOS3P+jE8VyRecCHOhSZvlja7x89Cs8rZcc9zH8 -zhUCt36E2SJl2BBoSkOjhSrtKf49PQI/9mrZK4ZR9KqAmgQTG/mh24aYpe8xAyeX8qSzYDW5Sx3V -tPzwwDJD8sGJMGPG+2Uvgh117SUlhiK43nwzp1dPySptSJBkCRVC3iob2NT8AaPrtxA3XyWiUEfg -zx2iLEHsWA35L1KiC+S+uJBvZoElNdeOJmJ9iTSS6RMx5zeldH4BGAkXTA1EC6CyJZLeW68ZMpay -MC/iGbTZugAEinr79O63aOu0frvf9GtVo0Zw6vjbtdT5lIt11tpOoBYw0UXghQsPMzrJoAxQVTY6 -9UHTjVnmY/D/5314cPJL/xD4CN8Ow/XCGaJ9IoLOIEfI0doUzCjgKPexzixBI0D8TnChvMEiidqC -+8z4BjlbELL96Jea0KpfGkW2NXCNqAk1Wg7ZIvVjeleKafT2yBLpxPgDI5lo0Hbs7wShR7QqTfe3 -slRz6ruDKaEwniMWuYjKkeUHXcBz66n6wmN0RpqBFUj7xp0TXtzlgSInXeulCtzhiT+K6lgOpO1P -WFWl6aneMuniTJTjG0g1ppab9LPB4mfZ7anDEJcTeBpsDjm0aDxLkuTxtIg3uLJVgxoe8YdDbH4x -9ThxPTh4f0GR5dKfDVfz1IviwqKAq/q71a3QYKICxtIEy2MPd9sSX6vpphd733uh4sGy4myyRUXS -FvZocs5ZfF85eUE8pBTv3vMfqsuDcetx98zug0Idj0+OdJN6kJ/qmP8h5qrJG2Hvokvzjn9D+75V -pyQnp6jgN9iYpYJPq7xjp42HK7pIx0UlfZwkpzPSiXCQ+x6v/DR54UBRiRm00ai2mPqo1YZmbTJE -7VhLYHuF1TofLMagsTuVYlKNq00R57nWCQuZJ7bn33MDxyVYcxSlXRKdmx7tAhyDmnxzRoLafv6K -Pcf+fEMCxsXh2ktYw5pqfKoX4zVv7LECtrMNaiT3SckeyGFpnR6Sc0QzEo+lIxPMbyjTHFF9RofL -OYpSPrq0H3zjuSpFpA9y3OM7cmj2hF6+9A5QQVnNnOM6S9NPsrO+kyPBwnjrma4eBBkM1vOwVZ8e -K8R7Sb67cDoGN8lPs5LwWUq4SzYTrh8w1pFRKW0GiRSYx4dXC6cCQclLn1z6Wq36QI3uh5iaA/yB -4GFyVf9Bk1l0k/tP3W/ogQTfl/0VHCzkD4X5xPX43C4oS+s9ssfTUhqpcZdX5MzxT9BZup+SmJHf -exrbggUTcMiIDEKG6H1/R0s7yIEvxXhamARxwmuSuUwyPRIf66kvwOECyrNp8s+N/tmyokOzJd6b -fwizV9iEGnaRnYW5jfLYOSV3MoM4i79om/v23XCP5/EQcGqFVvg7cY+Ho/ulzzRRAMKzePq2aC1v -w/2XbHxzkPFVjR2FU5o/0mMZ1gbvajXoAjaVhMlisk1xHfBfBO9F1Yrrw9KuChGsiRQhe/3Qi70A -Z3rWvZiaTrK94ndA3NyCYNRBedeN6Aaj+Bfl1ZVh/5oDxJ5C/xyaF9xVAuln7jzMJhxsKJka6aGM -T3CAtPIeYG1boysygurEYTR0cEU4t9a+mITjS6Pbl6nluberBf44FHnpWwWc3yQjKRiIqUZFA9Lz -eRc+GhbVBgS9avM/w56t3OOGnOnvFKFfVBhd4F+2/eOOuymJyQSG+Rux7kyEvEy1zzATg5ZsdSZB -AF+rr+UtnLlwSky6WImEgayMyik00dpoyGlZmMQHm/M2PJBWGcVO1Ncsdxv1ZezNzvbkiq1xl/qJ -RtlJ28on5jZkAKczvfjHvlk9cdkjQyevUE8NRZLWxB4I3HDCN2kEg8ox/LLaCIv+yHgdgzqZdiJk -5hP7UAuHz5WjX7PZhEeqpuP2AaseVbljtGrvWxxCEKe5edioMFJzEPmcMDR4fQregeVI4YFsROk6 -AoisWQFQ4tALMI72n6+Ev7LE9edkvMKwDm5gRwLbc6CEa289erOPAqWdn94MXEJGpoCg3rTjun+R -qAa8/+zuC8pZHnA3lGmxB8aRJ28j0HEGH00V2umXhHKO3zXKd/3QVtBlRAbolSJkPBtSYJf1IF2h -Bp8AD43UY6F3JbPTq1cuZCNmajbmy+d1pddxRmlnKYKX5B1Umh2/JiTZzkLm76g7m2dSsN7JiY0e -DeE9DiUMYVAvDji+/GNO33OOxvPW/NX0OYavD+n9ifTdj3LqE0cHYsvlSp9Z4BFTPYpZ//9NHv3A -6gWklIJY5WP8hu5sQ65yU6vHJZXWkKU7+NMVTyAL1rGV7C6Oi9TDAl1tk2kAPO/APUCm4iYiRvXD -cmAKAwJwcqisUu7DgzEZls+ZaTxjhWpdBbGClMc24AT4QN48VhUH5C07NuxsbiRXDj3gHZRcBTYl -IEX9/bhd5EWSGRJfjGyRdsI9YG/TyZYiMEXMHGYwvhzbC2wWSNS/kt1q3VcDd2dZOc1W/dTOqWZy -C8JGoScBybESAnbIgnjBFkKEAosClMQXYbsFj9Lz0S2t5qa7Kh4YWjq+oysTGvHEHKraD/GbT+Vp -D59LnTWEYwHQw/gErIeMnTnEYFc//C4/CurxDaUqPiJrOmQ3ba4rD3ShvDbkdUqcJZfgCq1RGr1d -tIJWtBahpBEkDU/+2vYW7gNQ4YoEpA3WZP3HPo5cP98g03uqYpbvnbjoe1pFbrVe022KPAI+6vuw -iWeSriUYDa8utrEfrvfy0/FwZtms+xXCt8Xzt9R8AuI1kdCi3sr9QJHyIm0NyGTAVXHVo5DYq+HL -IgfiO31pA/Uuv8h9eJLaHncMijUR68bDmmNixngl/c3mnsVdfCdjbsucyBK0G5uU2VaJ82laIHJO -D1pW/vl3p5FD9lx4+bwdLfn4TA9JJ5G20FRKNQo0BkdxOQFAgRP1o18fCLho5Fv2VuuowQ85X8VT -CftDvxhQ7fY4VHs9dRMVz/V7YgW6W7RUV4XdjOvESSXI5Wy9Dhx35uwuIR0ORjmm29zAYrRaHnpp -Q1CfWI5sGaWgurH4lN1a3dgU8SdAIjosIGyA2aJj9YHSG/FYLTyo7984NNgE7xz/cBaiCDwy+kFv -r/UkezwQDPgpPErY0KjxQjC9ihNi7RGGK1vpDHtMrZhs1VmTzWk/Lz0GVXK2xk43BocsC+ngQHbT -x0vCYggfNc54CBJTtoIUPccU1ccFgWIb9QZaJNM5nb5aQ0H6eGi1ps7krT0r/MPTcdPtmvBPcWtW -X66Di8K/u3OAwJcQG3Hdnn7FVuja+oRHzMrBWTP7b4Q8KTZhDBmCRjJkrbbT3cP9LiYFSmEZxwX2 -XJ+/iD1jrxUI4VIhNA5/QJtPGiXGE6Mos53z/AtuG6rkJlyvy3mOXXAez7a2bWTcKf1AgVb43O+Z -cyIQ0bgGVjTaGui9rC5PjOdOAc4gCGDZh5fmSeTSrkEf7g3WRwg22xzISq5Zc9dySD787PS5VwjL -0j9rFppxVeknkGx9ZaBmOtbfzWnhuEoUX2AFg3XMDni1FpxTFUDHYzp6BSKtGI8E1dU9+hTvyM+C -ivc2oT50vai+sykP0DmbymyJkObjQ0xTAgvQYkZaT2h02eBDSdx/7ht5qNgZeEVlc4mJEBhqdwiK -cABr7VUwtB7YuYlTP2XXJj6YImF+rCvsGbZ42leqo+ZC2Yjohbf6tI4OJVw0iA3Zp0qB74c9gwzD -qE91IhnngW2P1crwt9h2TQnZkS7TAnshn9y0OL/4hV/xausVpNyA42XjGwtEUvvm82NygqPM5EBv -ttxX8Cp0SjCqQwF5tlYjKDEirKuBpSwX/eLuC8lbP9Ojt2Gqr9AWH91Qg10RbPFtXi/hxPECdh7+ -oPAeoSoUk6O2XfLhVHePCkv9tYFlgnSgjw8DYXthX4c7syiR4vOFFQC0RUBKMWEarx2orHHwgqG1 -wQqvChXoFUgWkP/LJ9PiS6cdSQ0IvdsuBe4A/1rvmxsFblviT2NkqhDFRKZrpl6xjQB+q3jcGALs -ZAwbXD4K/zSI1cgF1P65o3C+T7zOy/kTPrivb7zm2aiUM9TWJFURo+cjFWOV2xN5H6n/h4Z+dpDb -4PxvhZeege5PTIoqXrWAONqHeNfJLQm5+c5gBYOu4DywjHBJslaTYWjUpUwSGfnU+wNbt8x9+j2k -Crz1+JEL8sIK4Na5N743ZruoZ3IgXv2f4rx3se6dUuETnf/UAuEvxNEadwrpyKZ/95fuFg8KiQUU -HHQHN1V7tZBoO5dngDBfV8dohEGAX2gxGGtkWkIdG0UzhUWjocS3wcfo+gZEQEiQMuicY90xxWDK -Z34iPMgw5HVppvLDUoiXYlZF64GGz6gG5hiax2R5+Qo1QXvSZuw1wkBgJzQDfuwCg4y1ZIxXkubU -DJB4uK/6PjmaF4Exz8qfwbV4cOq8/Q3VFoL95NGs/F/sLnjnbDRHEfKCmXLyMp+aDYfVYoIopSqw -aqfbKftev8bZ3eBHIQn/87NiH8F6OZ+/W38JvdjozihESnBaVy1sxAU/8GnIBD3BSTTsXd4oM/Bg -NVdrUkzcEhIDI/pRjiSaOHrsfNgXiWkI9NI4AVDEOc3xgCG+2Pe5EmlaZgxAXqiW9juUyBh5AKxj -+dOnnDOCIngdIT7ZrCBlqJ4cD9Ms3C70bJH2FyolfU8OVxzdtDxQJEhQIBsr3fcosi5/UiZUVa17 -eol+9MSr1mP6PUavtbR/Pnp92u++RvZwfH85Hjiw+BL5ootqswrNfYdeWoeqpYON7lEquFCmcaLa -dhIVZnOkpS71Uwn0NG7E7eN0PWcJ73dvM/pzgVObHKF5rFDTCWH/0OkEIlHcND5kPrkK25jGD3tb -GPJ60RkyKYxVI4HAl1uBS28vyL9JBaGuw0qj+aJXMV6vejP0Xv6IvzPiZFjGsGVH2fe37EY5YaIE -Xr3Gm1Rxe2/jytoAXAPasxLbnd/vwDfpgkEkrQmpK04XmP5rXgrP0POYTJ9RIlK1/5aE3qJvLCMw -97Td0X40chz5nIbdOYq2xSir/dkmqJbtaclyp5tjyManRGxjlmql7MElBL/jWWiyiqVAH9vS5Bdu -G27BK1rcR6fLxH+EsmjCKivexhxT+LMcoqE6mGkuPlN74HXNkc3p7TcnYuFCoLdYGGxQC+/jtwce -X5WQbf6e9V9M7QcoU735sp2UhwdvtM9Tif05+7w0AX8VDywm87DznTZYDLW40kuCb6ivV3WEYibj -jrA8qnJzieRYaW6oLeVIs8IcxzXWjxRtFniQfYkdOz0Msy1kXQOZ3Tioy8tBjK4jtgxraWHSlYKZ -AIX2ZXVbumwhvMW+yrNYFrIsdPS4PTjFHrfK23VgQcoElGGb8NroRZkMq9cehhbl7qx28+sjDZrI -Gtn46HsIQW7JGC5qvfpOZAhOwLDnmMbbEs+Exso789+OU7LSTZ0HdTfA680b3WtnQxwJ1eDZXSQp -HfuY3WehufzYySm+xYP7E1MLVgurKhUliR+/MbBobunR5IN9xUKqDcwE4CFz5AkS5LAOjJgI+eKD -N5Hh4OeGi44fe4lhiHD7J+X+u69l7OJbXtZ1lkYkM8wqi71YC1rmZygYCeZV9LQYNPcYCuP1ku+D -YqMNjqsZPY/dkTdHYWXbjVHAJzdYz9FByCGjzlAwf8PL3OdPCV4hi9JfFS+QiatiE/5KDtTrrSPR -8DvqDl22DdxEI+BszeytSF/aMNh9R3Ju3NPCfMa6riTstLM+K+kSJlr5wFyWm6wUmWfTay6v7xb4 -8sqWvMb7sK5BkE+0Vkje9dZgA5kxlBg+/AIoH8r9rOUzwWvVtO88bjkPreBDTAjsBfRBYhRbBNYQ -S3/PZMwZJQhHsG41Fv0FFPu9LVpqH22V/EmucQXmDXcrZN64vaot64ljulYSCT8z4K0R9/1BTVL5 -Rft/3ere121NcVBBuY/wRL5zyXJPiiRWOKL5M47JnSwpcZqmqWrbFz4vHAF2ImJXFWZtY2vTAIIr -2xdzZFJBm+km5usfph/WZ/+89xL/X8RQXX/7E/TrFduEoWrbE66D64oGlKGHl2kId/84XYoa+t5+ -KeaM9SHyO1sKspGxupOZlGDiImhacALBLfteS2A69Ga2PY0MQohaJk1qtVBN4uWuojbCytv3eXSw -QKu1w8Bz2fQM8E2MGL/0dcAzdNojr1VMhbNya1cGwgnbSJqPkc7mSPUX1yBR2zC5OqdvKPd5Z1rj -GTC8DC71rpXNyH8mKi/KzE2aGGxPZZnJl+pVqTZOE/1ANBoqHYnuhdH0ozwQlo00jjCbC/GnIuqJ -PLbDY3PU/kCvjlvy57yZWQ9xdOXUOZGtr3TUZ4l9WNNfE+VSb3IYb9NbuihEK92XrIUzEsv+m4EP -3kHRCxrAM/IJ4D4ncEdBx1m5D/lghIvlZifrPgYSArwEUYgww653VRNhwB/nk3+k8eJm+Lva5seP -l7X+8rcnvhm324r+IkXxIAh0OGh6gtXmF/r1kw1URQ74mlhDKlcaBL1xy7ngOrfr6pNAKg9C+bfq -6ISkWAYbb/TIwGQqIXk1TPVydHQAilZch/erFGcP9joACnKSeTC2Ur3BDClZPm6Bvf3xDtJUp8QA -9gU/H4VY9DYzHG3Vwa5BH4vd7ywWJfraH+nykN5gDIdTZW/+pRPQn2Y/5gW+Ud4UH0MfYjfrmIPr -lqz4XhDI1Iy9oM4UfPbRjYSSMF4JCVXFYSTKGG2IlkakGPNoUEBxQxfP/Zi64kaHRYh3fOXntd70 -xJ2XWYaqDp6U7Z6RjOyMwvoAwEkkmMYSm/VCAGXyXqoly/B9/hvlMdKncBvOdZG1RLdD7bHIP5+O -nA5RyvPPcuJ6ezqNKNpvU6MI6t3fDfEu5JNsGlQgoOie0hratq584EaMbOGCivRYKx19ffXt3ljA -tkzNWwNo5Skb6/slXy+0HjtHYsLFATKSUGR8fFZT+LKIL0igVglhlazf3lPzhPvEUTGyGbd5fKdS -EnDzSQsLSTATbTgPuDxDhcdo5Ol6wM93/pRI0rNxfugqPbGTJcXgh2d/+T2kbvGTtS6QSv9mgZ43 -O63NrWxoo1ZHBWa7zlJ+y/S3K21j4WDq4VZo2/xCL1brBRbbYeH98eEIbXU3SmRt2YxsOsoV4dHX -uWQzmcWRkOTT9xPw5iyK1+L578JtMm7neiDyuQdfsbXbglNEQatcwlO8/SKqqcxVvYGv+ISTsBxw -bu9R+dKQBD8EUKQwpVrQj6cEhKzL5fSH8y6SaOzEhZRkb3whgdznxmXrb7uFd4DtA4kYhXZgBHvz -3z8kuSnYdjwGAkWWPI6hnDM32snRoKg/jfEpM6FBuZEtJVyRDDR7RlqaW7rBPC1JeYwuHRgEq8oJ -Z5NKKNc4W/zOOgbpmH+rfY5RBtZpfTYpB3fejIthKDL81XcjIa7WHrGy+r7Q2Z3e2WomIHVoCsXg -AfcpAwJM/NMg4GYdf9IaD8Ya9yPnVdzqZrBQ5Krq/OeSermDI0005i0EVpIci4UMWQBzYoS6AMjf -DXQQW8zlVj7GlYm1yzC2hlOv8f0jOiokqgzACc3ragNUPaqyhF8FJ+PTo34tNpZfkHtKVNTHRk/2 -D8Woq5D6t8h487WHpFb3D5cbiEqrp0240pNifFd+1n4NOVVHlCnNurjuWINTDsbsSWDeerG9lG5M -4bNHC+fcIDquREZGkt4MxlE2Soik93UWv20ePq9ZvL8dYapHxWI17pc/omyu4uWeb9yjcqm7p20Q -jyip7l0J/EkxsaHIGTtRsMGEgd4h1NtknSWuDrusZtrqezNmBh6Wd9HNGfMLR/jvKk4U4DiQ0kMy -SLLNAaRaSe6Bod5nUxJgwwBPnKz3+pYBGU1SO6GCFaYMciXa+ue5nzBHXVPb8SCyLsRrJLWN1odD -uvRvr5GNO9JKyAN10y+hWM0CtKY/JLCAA/6iNXQrqSWG7C9eqC6grA9bIPqf5L1deXFiZaNZEepo -ogqAQvaK5c2e2sBdBMfxWTPxtroNgqsv0y9CvpKkU9KAGolOoJIJeOrHfah3Q8MaYg5u3bTO5b8G -wNj09l1htnWxkFu2HdVuhis9qbYRwSIJcr80HaCNATWnC/ZoTDrInkQ6IOsX7VyI0wZgosYBdvC5 -ndRUO+9TW7D8j+exAwgKT/xUbEMZSE4WqNlq6bRHDvnIxPKjcg0NG8ROtkHT/e+R2xBp71EHUTJI -peWxRD0lfTg+49gKQyV8QKBgOe0jt6dbb4zPajBqYE8qnOm6zwMuzrZsG1nw+fVYgWz1dTaupRxe -bRhNWgdnjQVkxTx4aA9KmXWmSxPLugLvIgVg3zViLVQZwsegnGWKqIk0spujBoNp5m5UkiRVqhTD -xSO6KPo2dFWRnGtHG5gtFnWh30RkXk8jD685hwu59Rls4ZqgJ5a4Q7Nv13dwI/dlCGTvzTPt7QRX -s9HRlmvDIlDU5hpJxOEmIi8upCfqu7HSCNReExWao4A+ZY8MRJQGFXd5uws2QxjD2pG9G6+16YUw -svjxDUuHp4k4SyybP3ox6NscGBnyszhf5dJOd7tjJqURM6C1bCrqZZLrJHkFAAYEnPPdQR6dBrw6 -uZLjT2vUzQfAx2MTsncrktLLc0x63uqQM0dIJYeym6l5J9mxHQfbkkzaU13jOr3Lj9OW528licof -8ldqw2PQtLAcXnupiwr3t6+yhwTUJuHL63hVLzSJnuXP+Rulb+9h6rQr7OJBmLcI4d0WfTgonyS6 -39ez30jLLEfGYUXfEU7qV16QpHwvNrW0NB20TIoTBldG7u0ADjIJMVXKCtc+EnCFw+cAOTMmfrtV -KWgqxszjanxoCKRit0lvcsd22iiyYCcxUIoo48202Ufn9BbitBGG9Oy1oYbQQlUJJHv44F3ssdRE -K77RJMgCUViOcVPo1wv5huyNMJKVg6/5GVZUTDogISbDpLhfybCJeFxqNl/5NKTSEfQwK7xnaN7E -79HEsmEpacca5q0lDjodxDhFsPTyS48zWeODaBLHuzJfRqI2VJodGmF5/V2fgMPyK5umEy3Tpe1G -tTv6mh8ILLio0BhyYFw2y5QLDUEU0WwFTqGCn0T2Gyx9HQ0+u3MvAA58wC8Fot3+bxAS0Ty689xt -AzxtYymVKCEGOysqsj5CU3khOXppvncvntL0L9q4k9l/jaoSaMRK61ooR/ybrMIw/iiv92UA505I -8mha6mJ9zKDjFcuQGsGoCyZGMe7flznAAcxOoPRi1x0/AfYlKyAae3zWgApvznw3UjD4y03MdpuL -ovFCWNmiEhpwQPS3GOvucL8CZhxp/hk6I7DXNNaJy/jFBQIeVlHmXSBJkWQe+biqOGkBVx1p5BTW -FZnH5BFsNiVX+zL929X5t54v5Q81pgJtAmKlINu6+wTb68nnDff/fu+hio8s8eLlAt1rwhByCxUk -v0pY0l1503cT5pv3nhyHDAPgx/d4SwYl9DtsoLQwSTsxAJYYHnLwboa5D9Erfo3dfZ2ikHMc4DFM -ta7ucKK2i4j00DuSZad2P84CclUTbPojT6n2PhxZyR5tX4KIvYRkWgHNdichaSeOebn0gmsojNPq -Qj6JCVhCBmRen9V9Q63RKF+eht8mK7Ug7LpLVYrm04GGskFyeWZoXVeXZfN87PCSuGQhj5EEThvG -DR9I59CudjRS0zVE+ONuzWxOCqH8YW1Ekg5GrlaAvwTz958XscorP06cemO9fqDKkEN3k4K8m2tF -q6YtIWhBCT7sF0i18U3F9TzuV3/aYaJma3kjRi8KzquSPXzN+B3YS8YZuclcNoUX97fuomklCp3e -Y+uPDSGC/gvmZJpcHiD7foiMxSpgiBz3ZqKWf2u4fi1G6xWiokKJhvdQqtnZYvf4ylzOlDfXs0g4 -S5nf9TAq4fdxdZhvUTU+yJAIbw4FhKJK5YC9HdY9vjxuJfTE0KuXgLd0olU8OqK0tdtuJANfjBik -8p2a5kjMx3lJnkpRaPHn6KaLnGuX7vBJ7ncwm6YtNVE1kiP1/hkgZI+L2IUdvq3myKmfn5mtLN10 -ZsBNhZYKyElsbJveYKucV8B7FdWoQgc8RiYehnGV9KlT+AuUgTkf+4wEK0WaamUrNtLCvq3XBqeq -9mDm6yZznDIAm5apugIz0BaRPgHOR5zTTBIhXFustUHgW+tz2Pb9dWojlyJa9cD3HbXbzQKuuMfi -Q4GoqRAKn2+szYzzicNGJsWtkkH5ep7CvGTMGJWjgJUueJOjuHkhbcow7iMlcftc/2bzE6ccFhZC -uuuAahvBJONjmyDFROYP7Fgih2l8jbOdtNM/K2OlIxN9OlDdOVbajYDoruYmsjtaVVny26Szv84G -8E5sYQ3y96fJ6H0F8cZM+dOWrU7+8OwUEVprd9hHA1N4ZcpbI/hC+q6FJo3OdDSR4aUo8ZNDLsGj -qdEe/4Le4C91UzIHiLdYZ/gH7Uzinh4nZMgOCDJrb6XZijSiREIy9PwKLlfsmYJEAn5EXfLzmDzk -OJPbh+au9TBfMSVNTyuJ0b/mM0Ts13LSlmzdjGkfyv5ADmAtmJjRzWfAyBg8occpRi4Q1utrDMSI -WKD6qtowt/cpKM+r/Jzh5aHmjNhdj/qFR9h4qtuP5NCfVTHx2XRMW5ISIcZtcxR8rtSsSOBoZTsM -mkTMWsdWCFT/vXjLRGx4Qz88WvU6BBMSvVRhBjjceMLbyP52NHCLxI+hNlrdQ+LGHFnJga7Cgkbs -4+GmCIbPOID2DOX9sLMHcMXtpZ2gijtQBDCSBC0RYTZLYOkRaJ+Yuo2b/VqsnMPwD7cteZQAQZ5W -QwSq3htiu6Pb4D+YspVhZEPqsNTmufIxWTxHw4rYBb+iKdn4MBtEELH1hFMsDvp53U3AJl+vUWiQ -M4K4Zf6C4Ck2Cy7jAWsftpu22PE1i1dT7HK6bcqivf25Q0LEVi9vqHsugf4AJu+Qaxgdn2v9CXYz -uDMYtR+OnROrmirGPV+t3jBiBM7BfJiTr8p5wqnj9ZmTzBWBb4M9mzctoynXmsggCQqrR2msimqn -B5IQ60GpYFpqC01kIt9Xem9+yCX/b02dwLSDzUVdKacRk2xIOrK0Snp9Y64beAvQGAfqX53bMze/ -fh71ZBCLca5NYshMnaqyF8wCdFiaJS8RCjba3q2jGOwb9kEU5hR4o6WNp9l31x5+yzmd4KESPevT -gnYHLsXKiOBalCwOPY9rus79UTjlSEso7Ogylt4n799zw4tKu1YuWtt5jjbJhS1S+lonc1U72ypj -MYblAm7YPLosJJf8kjNlWUZyhbBJ9SomuF9ukfjQdYuyLn36+xFddVXBI41YyISFnoXUHUCXO4OG -ySsfWyakZn2eXPpI9yu8qTCB7DikHsOf6O+VV3MTYTqEoauLmaA34S4U8y6qJr/1r/rBYIseQoGQ -odMzlR6ZP/95itrShOgTD0GsFuzt1zbnWTqiyOpnQnZ8wu5FaZ5TPJhpYWYtbeOULo1/bhKMH1PW -0MGARW/tDWtznMQhvQrVrDVclJEWW2xlfj5qKVLdtHBMlXMwk+xZgYKNmMumv35ivzEZrDBRXSRz -VoSmIv7Y00kw2bBmxzBUYR6wqCLjS+VJNYEDdjfDYOekiwwlDaCBjrplvJT2OwRSj+RPP1SeMnYF -MgYyDSZI24FpcSCF2vjgCuZsoMSlGb8ih5UUw7AavNoilgHxnWd80KM6o7+Hzddg2vt60K22+Ij3 -HVHJPbhkLIzIlkTPwCQF1O19qoLvsDGZ12J2SLW5n6Fv1YXi+txxXAt/ULnAVSh1GYsKXTFM2qQ4 -igylrj2LPK3oDocpPI3s5bMNAq+MMqMJGYr6rJR7MPP/uRd3yibxvcLltaB/MnQy1pz/cXHQoO8p -PEUWwWnHkwqDwBkYx3tFiHFehwK64y6nwQDOZ/KqFbmeEOaICDhIeKzH2Kj1VEUaR4Qmp7TZHavk -ydicJQmJkAlbVBvkOx6voauyRD1UytmVaATWCrr6r8OC+rlBiddgl7vnuuQK/M4jzy7tq3b/3cSr -C0Uu68qe0+yQ6sC2v65K15TOkHqaeLTfVhgPiOj4OYyMpowDUrELPQs5CQlx4o3LuX+mQAwRw6Bz -oyHK+ronw+ovdBY0QlpxqJSJ9eiutL2MXGOjwyg7X14daYcX5Z6C3NQs2yMFdaBnxomAdFUmVbiH -9LL2s1lpv5c3UkNbs4nHe5gYiAOXOa4iqWJJ4eUT+0Fyyk3nELX7jI21sQSZhFT1EuxdkKAZSpKV -tgmCUdWiPvibK27CXIl9wfPWamsxjP2DwndFVxIG735p+O9ZkfkQSfRMxXG4bQOWmVGGTNCZQ4nj -XO9zyavieC3n2O5kSnr8oFeW77NZNgILOviyY/0l7D5I42C3llrPxWcBctu7j4yRHMfsbzjQjVAQ -OC9NvL5O86Z/3JPphEO3s0X0RCeo6G3hO1scJ0ktJjYsFCZUPww41kXmjLKfYIzz7d4AFexMyzbk -+uUTQ1fLAlnFwIJT4T5Cnzn5FAjrbuofoSUOWwrP2P5StRF6cvdSol69O6unCekGtY/25Tc6fLXQ -+UIs1u9O8gQl/o0JLFnXDOtXLO2Jxj4p/z/JIN4i9DZ95eq/Ydnv3mCpUlG34FLfpi7p7IcppGod -cPARy0Y8UV91URHLJ/6vizwvzddD6cDCn0l5rURuXQb7qW7iA1BOkjqRrYPbiS0R3iNldNvo3o6C -MoX0HTlDHGo9EWJHZ1JS6Aji77kwBT6GpPo+s1uZG94QXcsi1QqFCWr0KIUn/sm/8Enp+SSadmm0 -i60osFU1U4YCTjX2YF8+LJHAD0C5RoVw9w1lU0Uj4ie/XD8g9D7oBEsohcIkhJZWgv+oJln8NcYy -abHomHn7pg7B18vM4cIcoTmAGoAxS89DY0b4auUUFL1LfPkWLijCCF7eZ2bbWZ3nD7Zi7pNcRusq -diBnIzcgjS3053ktVXemR+6oFgxSG/IuI4FQBbQV5UYkR5PGRMfVuRlfvICRm00knBbBRjMFWeVm -76VzjTvEoF0egdQGWNSpt+YZJ7zsSwrkC3Gs78kui17OIQN/rg27A040qi0C9FJSJM4D08Nsj8Lh -YiYe4PJNGzMfGvLN3EUCzUWhK9DozELxAZ0VgP2AcZl8mvQM6bSCO89UlX8UCtZKLd7/MIJ9Cthl -ElswWnWem9KGZ0K5xmNFtEMOyylIb2KfRlh7qt/gp1hdjcl6y8UotYL4/AjxC9o9PGhNKttoTbTL -D4o5OiJpNWX6AUKAt8KEpAOpJKksLh/PF/1NJNNYFLbqhieYz9R1gGnsvnWrGLOVJjU2O7W2tCcK -R/kPYuHS6BOr+BGMY7tj01D97zxdqzjIuiioF5Ehg3Ua4K30Mj8gapKW5wiOp9nHKYQCZWYuPAAj -/zpHt3rcuOgFEPCU0NMqaOtCDmSlLoirUu1nQuNPqebtZBDyVr3ufqLQUDm0hUvWBg4i6Ma/XHZg -VwfabGlldZd3qhDTu2ij9o9JBUZdDDtHgONplvfYUhnEagGL5LtxOidm54nM17rpEkw8ALa4SHZd -UAgWF/wnQUdcyT07a07znx3uDZVNJda2kZEv3rRIgW/WwsNOt6YypOzIZz1ptvwff7ViXVMwn44b -PA+f7MfSJ/My7AIAtc62offjU+8WK9iD85MjHz0oSTak7LXhX4n90M6UQ1+T68BA8aGrkhTUsa0r -Tq8ZCV/5kWU9jcPVDbHLtiOVZjh6XL/66LKheMpRPHYyp7xQMMXVuilw70T0MiRS1iAU76IW9B6J -lC4amRt9uqjw0ul3/aKjVg6l2X78QcvLtr6X52hTmYwoXW+68asJE0d6Jvw1wDbMhMF/Q9/eB4QW -JMGv6lIsnURmFnNa5vZODwYjAuKGDUIgfqkokd3S0Uhmz6gK2a5VybOXIsyDROOcZ3ei8n4tiFki -kCQuwZqmartKJ3Mdb9S/g0yED5aCXBzhNKrCNqf7YJNskmwD6ZVEMpWftrVuY0m1aFE9saqzI2Nx -WVI8zBwgoMg0iAsH/cOJRj82zBR4S2J1kCEo0PQ9/jtx/40aHJu9B+FZXBVzkEn/CVoGIz6+okCl -oJQCZBnK0ipbyuN/AFJ7s5s2Dj4j/oA1/selOkWd3n0aQqQNIH4jkp5bCK0f/0BC6hebQWqs+48N -j9L1DMIG+VeKfyDe7V6LkW0N83uOaKeBYtoqEEotwfAOijoG0HwH+h4BvDPcvx+yD7FZ/5g2xyvq -dhd3OB+m92+WYBJ/K7pPnK5LWMCXHlxUjAmg5mS169SbllMwEcfoRfpuiRVBe0SAVTlgBCLjUEIJ -QkxvX2vuVPVHtha/+xdhGOXjx0clGvWSEejf0p6BT9IzJqFKOvvRaQHfPuo9dkpcyBk38VX+hKfI -2Gwe52idTiAVbTM7aTbvyoVQ2BXHDsN8zkv0CspEtgVveSof8Nh2EfzvvnH3rYw8Cj8o+wI44Xcx -D3CUlQgH/3o6BXWtCjC6zg9T9bcXg0vx+xaMpqPYEfRbo7YEfLa5y8/whqIzayUuC5DrXhyvoqEQ -J/K+KGWgr0fIcsIUhmTSPVrCDQtGdMn13hGOBWy5Fwkc+o512QLyzkgqfD0HEApPiVQ9IajYmMnl -XK5XQ4ovJ40A8+OZU68Jt/NETdU3J0CB1NQzqldePmVWDkVII1sSNEXCY3gaZdAuRE95T8Ui6O/t -DHcSRZi+KwFbitq0rnoG5NRFc4qnesPvrD1ixjwng18LGkp3Duspgr6k/cEKSfQx+rVY/jwiiSA3 -bmgBfGyhoHd8fliaQg5VTgelFlajVx9bi1+eAgt2DYQoXBy7m48o1Ft4y3LTeoA26FjEthj7E4WG -WpplSAJ9qwd0BP6rzvFKVPProtH4CvGExtjc868mwCCClC8sQqVvdktputuOERpWVTF2XIHuqrxq -4YwlgeLdsewX6v6tvgOXJstJ4lVULeq6ktijmzypJ7gBx5t5qz6AYeSt2rV7F1EDSay6rgJ7j9UI -ZPloM9DwSEutPJfrLGU0wRd5v3Y7/cPl3qLCHTt1hzPCS4kXD0IraNsbYdSTjqpVOzsL61lMaLa2 -ULuxhKAkA7cMCf0lD69RjrFs7j24bZ4esOIA7uMNpM48hc5F/+mXvaabrBpxwZtcAbjZSkB/tulz -p3uhGrDlkstbHfXcTElkO726Fqx/117+smw4RwPA1e5mLMlDels0VVHmOUwEgsKhor923JvTgCAK -kTy3AtEzj9kvMbF5SO/Za9RRYxNKKu48fULycF4RNKLVV34aUWd/P15w6vXXS/s8yIxIOR9GVsRJ -LJtUNsFR0VoIEsSw5mgJk4MGledTTiNCjuW0mVAFwkyEhZLQq/nMDbswfMwEiwptE0jZxaNZjHSR -MqMb1dSGcRt9AIkNo40aTx6ytcRaEuiido8/Rkca7h91bapCqFqcJZfbSQDTbohzaP2OQ+H5c2yi -rnWTsU8xKZBDQEaTmqEas1kaNon/ZA2VpVqHXE4Oz4YnA0qMW8wBU4lCzAMgZWr9s/xlAYCsUOzV -K0F+Ip7Lm71mZB7s5EedSyMDVUUboEON7jUAslHm95HqQOPU7I3H2fUfES6mq8Pt5RsiNHhyyExK -u7xNO/bogKLFtZTL+5f0xI28ufBJBjx6+F3aug1DIbGabwiKlywLgwzO1x1XWiSGc2F+i4yH773K -FFSHh8C5cp1GiDysbQNXZWY/a46FkvOQD/usERvTurQrGZB19mTg8xjhxWOzNV/YESRpXDsj9ZJ8 -/R4qNhHoJErP+amixTCw7WqDF9rZcSubiATZzE1x7fzqZF1kaHG4t56Uk+dy+5mKpBiMuPafTFcc -qzgV/KiqAnDTZNVBQTu5sQuyIkAIFMAzGhMUhrd/W7Rn/C1L/esG54xmllEbb7EOv8DoZVKWpT7b -z0mlnTpPEJdW/Mu1e5kjaKrJ6GzHXVEairG4RqpDgQ4qUXG+Dr2gyIBaJnIMCujTqV/8odfqAplD -opkMF4jw37pNI6Pc5oAKWW0R+BHf2x7tgAjANUcN3E3i483wbDzDm83v3cGDYkZXf8187YoC05qR -g5xFzc1a/qiqMRpTLZD9wrkvsn9H6GVlqj1BFTSjNu6sPoQ49Qg05x/qgtAyY1aiMlHCTN7tFPPD -5kQXrHX58WrLhg/Dii+Gttf0EnUT7KkWAZ+jTSpkEnMTtc5LrvnpGdWplyuMeUmHpm095CVLn81j -eYJ8BFI87HwsKAKY4fFPsKL9ndaLRSLZDll7i+bJmLJ+7+TwK8WXsYs4dKuytagsh9qnGI91Gbrw -R4omva4OakZCTT/BNHb4D9BU3/ZjC0+fvCMXFTAA2aTYFZ3wLBmsTPm4x6LgIPkb4ZSgTVHacDFU -Bh/+I0J+Xu3ZhCBeG/D8TLKB9RYng/XEoS4o/iLqnwiaL7RHOCqUuKOqnpWVfSDjEjR+dfYZPZqF -fzetOGFFMby1i32l9EYrW5TYw/mmYpehZZiAB21B/5+7hvkK0Q0GRzaat84Esm5urKbkL2TSMbhi -XSW6A7ynJ38rODVC+JJXH81Le+384VzwkyC0JvXb+0fxbOptaI0KbPdlFWqMTbRD2susl1NE67Qh -TO6675umnLCIegqm7YKK3ME0WS//iVHZFb05FZ/JcH2d2w/r+yOafTSucAH7CTtRt0YYFVmEpjw0 -w+DWM20Bm9d7XjsThQZJ6qU8SRq4X6YVIPPVrnCH3BPE8NRSs6RJziSRc233yriI7YME7SLPjItT -FvbUhupBkCkmCQVfXrX9bfBgc0FVl5N76hNyeZ6DTrV7Y7rAhbLyfrWtetMRgDWnllQxcEe5y0lX -Sskgco7nKbpRC75SNAFZ5YIe00YBeJY6n2+4Ia6zZipfr8V/iR6zpubVlUV6m8polJjwbiWKK2H8 -FG3sYPsNwlFExk8gyev1Ay45KBZsx7aLz1PPJEbC3tXaBXYeB4EHU8H5iLmUrh6ImtyQ5DlSu1/0 -p7xABUSV1k3D6tmFEHzZq1p/Qi9nIAz0Pbjc+Z4QkOT1e9wbcNHYObkKA1bKXa1Iayp7CxUt/jrY -PFulJhF1+0OMjal7+GUQK4ivzB/7afcNJ1M7mRXA0tOV3Ei8NEVSqCoorH/WIUQhTH3T+ZRjSyD3 -eDHuSlJbGon9U6z/OQLVgyTHfroGIyCBbxZfvWXy12NxfrLmDylAuCMSfmgrnrcFFIWh3M2g5DrM -oKvcj25lFHIOR4oECrQ3/iMuxxiQp2DoAwd8v9kX89BxOKWfBsp+qR4yBf8olsQLfKACCzPT93dm -6H8896Ozw461oqZzqhRLMg7bOuZTcpploYiAhp2ye2sC5mMoOEU8TcaWy9kGNXmi5NPnFaAc1z4y -TYyniKY038Vc75le6cZh0zwkaEDWQTuGyr6kN0p+ubB0zTbtisZYNTulxm/A4SILsHHKK0dJJYQU -PuDE6i6BmyqneH4ZoLN8vxmnDqBfCAgcH3ppoFx/D0ePoM2IpCD0UPhcn9nC7yxGzbTzpea5av8b -zCushk1kMAupw4kLpBupgWXg+rGm9V3R/nrPz/pCW9gDEE5pZoDQE/0TQ/BKpys8y3xBJX5axth/ -ma4KDAYN0VXrVEKYBcvjd0Do06NXOHA6O/mrARxWniJxFO0RDiOSTBZ1KUTHzOmpYPomfLpQ7PzV -+3Lo7U2bDE6ZMlJkSIa+Mih0+L1E9MZzlumIJ4jquvBmKK52/QLcFC8oYmCbZU0hV+dlW6N7S/cA -PryHIChgyiu+w5X1ySwVm/+J/h8rES2o05BT5CzZjwCgOs5OKjPjw0P0jSnFJH7+grZV3XukIvA0 -aQzVkYNQDGJ18fje073HkcYGnQzkOLdDOLsf2A/0JcDhW5wkxY1ieAfAKbdbf5d2Ey920ZwH7yEh -bs89O7h0tp1+8YxYbptT6QCScMyJDIiOm49cZVM/64jV6YrV/yQBFWEIY83/4X/YNpwC8H21dW5k -OV5IV3zBJCXzp+R40VoalFizYITXIMILpWZQqb0eUdU1Z7lQJzDtAtJiCgOOplh7Ifx3APGrtLf0 -6Cd0yy3BhTrlAmkTyEkzKpXIeOOUOwT/AK1VgmcsdXkVLEroxBtJ0+g0gjKlS8hUBjlvntA2MoMZ -x1OxBRmiatQxcAf3p3yiv6jtHoyFWP+up7f08/06+Bpg80Z1tMwODs4V7Jaojjklx3og1CWMWMhK -2G1ROyEkWe6xh6NTTKvHtGjsKPIu/YfE/QqUvyPEtbtKViqZDjVJ7Z+F0DO9z2K2ytCh/b/abZ5D -IsLBgl4AqcRek7xMI4l/xCptDwnKebesmX1PriwtDuc05vzhHQw2ZjE7Typ28j2mrph/YeLEoMxG -FpIwekHQ3eU2tUybcq2oAMMO2C5ZdzNZZO4QhMn/rECNN6QOsdHO5w1hqWlSXBZD1x8Utq6Ulz1z -JiNeA/NbOOMefZ+JrK09ObU1387w1cOkMoova9MoBSyLUQKKZ/Dx9mwNCGG8HC5XgOaSJ3D+NKD7 -a/x28b1IAbYqYq3jK4tb2nnlwOLLXy9DarXfO72n2LxDGMppnbkdEquRXDkfXP2Ltr61Cuyx3hDo -tx2pcYxcFISsiusljnelV2gymvCywpbzVrN5TkHYCf0Ok4GLH+pqzX8a7rUt0Oc9F10zqtb5bosf -UVIsKl3sfLUXv8pYQGPLgzefyjMSCI82rXl8PW53eCKBXoByO/JDhRERvYSKChIWCFbfaPid5s0M -a86Zj+IMKfIjhF3xVS9Gihdxtn9GLboRmcopPCg+VuKjK8tEBPFW3ahbCRoSHQHRikW+BaL6GGK2 -EFdU1xWbaaHZyXF5sSfW2qYS5yhXelQTFeo8BaPpCRkLts9/BEVAL6acH8NdMlx2j+M6GXfEbIjj -9yvZjCH2Z3J1KXX2opy9E1LBe5E3kPiD2Dv9i98qxWFo8NrQNimdLW/plS5vc2xolElNFKLmnW7D -3zf78uTdsdxwd3rGXruEz2V+jFaaRA+TxsTD77h5KkI3Dl47YBCEn+XrNPdN74JI+rr2GIqr8EMP -jqbVSGtPP4WJEXQgKzqqGWpRGMM6eG7k5Mx2HNpyMJmctIeBJrQLBXaGLE6zYobzqsGqRXkB150F -S6yQpj8MmVvs2Y0CYCkwZQGlEtNpGZZRgcdYSDbavIS6MfSu/Rn9PtTeHWO9P0oIX/yQNk6UwedY -y++E2CRDF6SBgHbgo1ecTSJJm7VoZb+hmhscS6+IyyJEc1Ihz/7cRFqd0vgeDmWvl3RupyZJRjql -7wF4IzTyTIzfXtKVVIzKQgZZxqXok6jxR14YBjOYmsHWv6L4JTKhWeXBrTevzKJDxXLGw+za1YKQ -CXv5TRF1JMcyg0JgarawOsJ4qMGpvugjCKwsCpmPOBIs/qoL6O/tCauD2cBisj1TDd0mWNGa1YSz -qTDF7nyLWLi+Uu9qy18reyQc0Y73c/jDcDVnWBMVPhVjdIGTDVBEBOIw2wjsCCWOOewmTGNiAm4q -07EEH33FTVBRwsnYsxsDOd6f4DxpDKk6NyYi6qbrBrgkJZgdFVccyDyr4ZERttP90ISwhRLeshEz -RnSw/PZ2wj+shslwWORJobsQy5DXGGWQFfEynZgsCWP9lqNg/+y8t4i12vxhxdWf5NSWi5YmK8cL -KS4C3XSGY08mWB0yO/ACRZly95ukUVYUqtt5DAwUfIkEHrqnDDOSTWdKGPVOvZ8NjPvqJGafXP/j -vrZ+KnSYy8HnkW2dFfb3O+qdCZaEx9LsJ9oI8tn1XbuWVTaJ3vf9OIrMY3AQtv0e5YLUDnXU066m -NTHjyAht/bXqqmcGzYCLPDfAxGNjXGi4x5xec83vLbjAv0Uo/cc8OqXd3bs5I96SrvSSgFv4fCe4 -2op92F2178IBcSeg+BepuAkbHglLX2wqDcaMfFHbPRZsic83YOnxo2Xgb2AY1g8feOI4rwqWSFVz -72wOjlLpkBf26LcSuTFol6W+NjlVZ/PaFJCOiGWB6vQtlc57KVf7IhvxNqEvhYahBjurKLoWiiEc -8LF+zvtyAN3G99es76yx50fZj+D8nDhxLsEtfqashcyJZKNyxevFNlkMY9gZnfT/GpY2WFMlNiXe -9E00oNTV6UzW7wVg4KRCHRnhBFUepWKqh8fsROMl57WyouxyGCXzm0pf0LrP/u6doPD61JjC4YXm -pfJWfulbmfKea86MmD7eiBTM/x+0QtAvgBXbZQe6u8388Cc4Ardqca+17vZe48eSnu8ZCPQSSFSB -kEZ+w8ujuM+L16Yp66E2k5YPIz0KL9/uyflBa3XTAc72+dlTtu7S+PxmqO/09B6Wc5mYyiFKY7rJ -FcPGqNHGS/5A2AO2/8QLeoHweQWwvEVxcBJ1YSC8mPpOkdAjrdUekIP/UqzqSXYAcu8bbWiUl0IT -D1nCgiZkxKRpo7uwnoGW+QGp3uRPCrtTqXkbK8dLie9jl7qtCfshjeGlU3ybl1hQNjypKdQ9yX1Q -jmmmwsHl0olBiNgFvcU4cuB37VUMKZHILS1pH12YgfY5eAY9yZ+9pUaWFYvLRkQzwfp8WbrYqXDr -m59gw8yVrQoerFcBT2KEY3VEr3IUGlFZXpRWusMFvaHQHY7NDFOAemEzdIWDm5Ih2CTMsoHAqnOI -9skDTRyxE2/IC8cAk5TABJVmS6BbQfRqOiOh0FQDhDdDqhy4Gc9dfgpNm0LTTd3/fJxTO3nH2EpX -venbjdyksDWbScg35HMfh4TuBmj69we+d2zHUrrpSybj63sIV0xSHiwjvETXys1OzToJmGjelgTT -JshthxWB9wzsD8PlFSsJ7dmtfW8VjfCYPqgXZiGOTh42DGc3NciIGwITCQ9qd33Nm03kyuoN72iR -K4deckc7kIpElSNwNXpOQyWPELpikcl4MyRxPvXJaibz6HwShiquxu5LuIhRwWZc6DApMX+/xw4a -KGQRHDleyPKJsQoiZTTh/5tfCOD81x+t5ghCFOIpa3mrUBk1DNj6fl5sLJDN6KSLzXMRbamEzgn0 -mY6RojCGy5oSuMu/KYaybt1IaiCleaeLodOtx1qXl3iiGJmz6Uv7NH1MTCOUVaHO9r42ji67juPh -hj5++AsaAJKfUwqMNQF9xWqMY/bEWjo3ob76iI6c+mrE1YQ/EkilYB9dcu8hrx+X5dxwlPwQvdMo -Q5Nva2kGLuNe+b+yTcFI4H+DxDcVLP17fOWYnq2qRbvumvI5QwMDL4MzklF2Wrq5KtQ9ypcGdCm6 -ZGV/sLZWNaEgZMJnbswpj7dLL0ZiNezS40jyv8AhUQKzh540vlkqZ80/+ld5P8cjwmlEeRIpgRNj -areCtX++eGG2i5QkFz0/JtpGWZF1TbR6LF7z6ExlEjQmvJI1z+h6KfkX3YRX2uwQcCfj9FaM5bOT -TFb3dDrPBqXEotSFpqrPYtHbzUqGNBLiBXxH9bjsL17yLw7auHhVaCg289kY1GZ3bZZrcr2/la6+ -rloSQgq0pnGqXJNB2TLQigaqINDDvs1VRMqTuB1503qJjJzIzemGtfBhwjNxqYq3TbH1f1qhywcI -qiZ/6Ulz4FFwN1qu1pfwWd+rYtz1nkxgsWAdbhkVI1zRBbIgSYjUB8TaoTnRgpOrgqtWn8w13ip0 -jZZDkdGhptssXzq7DyI61GsnS6F7RSlFds1QgeKY+D/6czzGDBhjzjKhSqWO86v+YuQC46q2XyPb -3lHg+e3WUy3s1QQU5q+WKjX6lwxSP3FjEivGsIFEzQd+6Z9IgcuYvRJfCrTplPoKKwmUp/BZ9BRe -9SKEpATttGbpnAxBiewX7uJTKmYmZc+BbjSRWhyA+UMWUcTXPtaUTu+CTGXA1vywIX4ykHprSTDu -I2ngtMdvXuGg7XMJ++ufKcv4O1DOSJVYQzF4kEcVlN4Bj1U819mmnKHfqRfOAMEDAOCtFeSjs1p3 -jqXP/LDEq8tb2dHAxLN50ddaMEUdoRuIZb8MJUBdfQVThFzFtGVsCk6BgPc3z9XeV1Hd77osUdx1 -Fy78fvJZfh9l1qf4SAY75YSc0YDkgbLj1yRpTXmhw1SwhqpnmSSKOcvamwllhL04o+cReT+cTRp3 -n7aTNGmNVGDj8pG6STROIcPB2f/tdkeGUQcYVvDNoJTw9zivooj0kKAnVs9MR8UtX5pe1qgkWP8Z -yctfyHVCUwu1wU6JgB0N+6/1P5svbTLBj9jrqkJibFsNPcpFhmY39Ce3ajLyb6lQxERzrgtr0mGG -8iUTsUoC9eOPdcfaEDIP5Qh7x9GuxK8LtEY173XHydVgkXlybQBv4ObC2RBH4P49ZUHVTvGNPd5j -nvlxlneUM7OQPDLUxTjSRy5CZJFyDTSgm6JT7HFu+HZXK5kOA6IiohOd7IU1lLjIUmuRHgEY0rJx -MVkAY67QfoGDOwLB9qNRPfv5KMkJ4YIVsM8GVJVO8NxGMSEDFbWSaaLYYez/9vwLs2NQRqls7EXo -3bHOfM+UhaqX7t5bCztYt8kiZMbA5alXGC7Tc9IMnm/PxQeoxXdlfoY2YbcnRDqsoQyQCV+cQCeI -IXi6xTknz/ZNVXYY/R7jz+cIlf/vbl4BOGyI6sF8KcezDzwq6ykwQvS8P4FF7K05W2LtGCs2Tq9y -faUfHou2jmWqavkhJ4MhzkkoGB0FSqQjQQ4ROnqMhGRYWQe8VGPuPAJQC5YQRQ+Dy+PQRcd9Yg1T -M5vcOlWJ0j+/pgsEc79TcmybyhOLuQ23FkujHzID8Ut+1IGh3FF8dQ6rwsh2K6UUawEeP721U/2f -U0XFX43i8VhmFRGo9YEAsv36/eebO+0i3PrICWuvQBjI0ydgpwpMclmCrtmckFQ2drZeXjX8Va+L -InscL51NIgDW6flIF9eSAxcA3W+uzrAF4Gr9rLhYIFTPK696Z+ZwXfvgnFTbBUiZVr2XDywz5+3i -N3QaT3eAalycCx5NVWquYhpLuuIlBb/78XJO5DAyFyQU1R4AtOLSFCmkN6frVWMO7OefrX/RKaZS -6x+6lTuu/IUonHSuDDorRYkrbwArTy2/0vfoC3DctJGyNoKf1pGURbNDV3FJSEsELeaW4dfETMvM -GuxrtnnTT4I/oTkIMEGJoLReiandjkMe43gwEuum768yA9uvsOmsNCvBSLDtdvqCjP3Z3HNV6R7K -8U7fj3DP2t7nFh5BtUa9+sfKBBDTWKMn+6mXWinDywrDGBxfvm5Of8eZ5y9idzJfIfCQII4ksqkx -3cbH7Navze04w6127TwIsWVngQAncffTskZKQLkP0xFV6I0DjdzUpbF4O8g38GhwKPhURtZyvaGy -wJKnTt4iQtrYXdLTGzwm8exOmBh0llxj/d7OPWKZ1EmdiTttGQkzksasnzkrQV9UzNAe4iVGc15v -UIocpEOx10xSSLtRRlIbfSZWu80ZaC+Go+Us8327X6ETzVAX85deyeNRsZvUolCQ42JfU4oGtA1i -yuhRmM7HzWRiiZsYOGDq4oBT2Gmsc9Zy1og4Zv1d5oadmfxykiO9EtgEWH1NPzGvx84/AtQaLXyI -v2Fufwt2nRzsSAMKX+KY14VaEXrf6oejWn0dE7/SfGQBy0c/hxnknETPA79qR0PdWo2NBXUtu98V -goHglOX/qq/eB6QRpQKTmfbte0a61RmR/nv2dzPBboc345Jx44OekPNHNgqkWkW+/p7Y5megsaox -Fx5sHvq7boL+ZEX5XGEt53gSoxPe4oYh1PF2mLlNqhT/DR083oCfy/DRmcCyPc2btpEw3ax/P8+/ -RmQi40gecoKi7Qu/+IaR2jUbU0QPyZBR0BOf//7yDLlwtTw2KfqLYO9+Ph6M2ty3SBdosnPehujz -xSMC8zdIo+hFy6JbCtuV/lCN2jOkGIkOFipPdPulHRlkzky7Ex2wOTyAp6BMTvsjD9TbYATaHpF5 -MTZAOgqU4j4iTDStxljCR5Zz1+uL0Epi0CbJAiPUfFMrsgLEI5llV5UR0XZomID7vfckxvo9UnSl -SP+sNktdHj0cFACfw3NrQOerButXYXRo25zPUtpRX5c75jg+DWHzHr3Zj3tel+S6Nv67KK6pzUdY -xl799KZdHfWT+QolgCx2YXz+kgkF+IrfC8lEZdATDBcEh6S8fW0np0Yytvdv+9wG5SpsrFeogPxc -nPgYD/3cMcrHnxXneik6B9FfABJ1mcNQGRIcWIYz0LPRc9btiMCIS/uRdaDxOLZ5JT4RhHez60wB -t5JYEzlQ3HlNHBSSLXcVa5mGkzZiVlwWyuCRyi1pb1hICJSyS/s50gGZ3EHoEnzyoEc5ZxXil5Oa -kypfD3XwrlDY6vi6LC30DHqTTny+2wJkXeczl1vRXt5h7iPZbCgKtu/n1Sj1f4JuSV/WW/ml+18V -N21Qqmt04pGk3BApFoivT98DrUzWbJ3Jt1DrdbwQDMe1tdYWHasO/0xU6iw5YXtTfr7FI/6G8CE5 -rDFCBQxh7xcdOsVf85ndyUDiQBvwNiOm+crh+U6yUGDSPD/cZxGP5zhLWI/Z+H2fVYbt/0AB6hnG -lyL8jvBzB9VpMcU5G7sBB3kOLD123vjLcECmDpSvHhj7ejrYKJ96mFdYDjGrwFZNTJfFA0/W4M7z -BTp5lFvjPEUR0o3TZG0uefpxUGHtCVQOhJEMQbyVyvBBpZGoE5m5mhYL45SardOwJwyV6roluPM5 -WfnwDwuwk/g/63DhKtQPuJV6B6rgDOQvkkUWAEWF00yvaxFFjOowH6gpy4ZMpdcvxhpeoIugAJf2 -P6WFwX5riC31/qup6AgA9PTZsGZZXeJ3MjygCzp2woAtqQYIIwjcxzseROjGsiz5vF8vHn1vGF9U -r35JHpHT4WhffRUJKhyDsg7t6rx0OCnbh3nViNrUw54DE5XOtjG74+BbL7B2quXEgroF6e0yLdO4 -VrFgemllrw6xQfOBfJIdJSHfqQIXvg0D2ZHTnQrYAtdg7Cqy77QvJ/sRBUG6/A7D/IsD/gMc0FiR -Oqnx/v9idezFxdQC0Ju7hVYy9VKb4kWQp/iVut0zDjbc75/XH0wtCsRtGC3G9w3UwM6llRgddN+P -QUK53TIYHVHtO6+jXue5Qm2dnsA06Gdhg+5UU+uI886+Y8Fd/EJC4lwRURMPLVqqwKLmfQsGdUeo -ZwxsoVKQklWkw8AOCFPb1zRomM5iqhIbL23eXlMtjZt2fh6LKanXuxyIdUngNOBpTWykbqvvaUVP -/HOzFviaTrzIwwhfb+U4gZpfe89Ow5GYo62Y7mWzvGG9kR6TFXGKRR4VER4+T7KMonm77yXGRMNY -tQ4vsJItnEUyFXE0rupLnTPpDPukuJXb+zcgfY2fonmIMG8MI+m9ICpHTzsNUeoZ/yZvX51Loya2 -aa9WPymRpoGAPe4+XjlB1S/4R4Uc58Rd+dJnEVQQJN936CNzrO4IuIj4UQzf0eMx/1/nKUq9iWpf -cN7oRWJGzbqYDYMkFyFvRmWZfnqrtAFiXme0kYH5PH2mP9k36wqdQgrRe9+7KjgkrgXygrKn+4D1 -+wU3Z9kJiqUz42FJ4n5rgj+Ll6h3AcLwLzCOh4Gn+tZzAS6FFqAFgeoVtydhk+MLWJ0SGvGFXKxa -77+IbwboqiSMeV4OVYg9eLrYUHyKOuZk+OqTZrWF+D3Vx/8Mw+4z/e287lhZ3L+sfQj+NhNaSLox -FEMsC8BykdiZw7F9CxshwByVLc1S2l7bwiiS3DSchdIUbGweQk3FK5ZBqFRSPV5Fs/HW/6mlUFOm -lH3wrcT5ms3I3dvWgtWtBF/dsGzd1VEP1vpZ8Bhk7KjMosuosTROCBJlx6fxMQRPA8l1Wd+O1asQ -6IkzREAcbHVyw4kp0a/nRFiizITCTXFDw+so1MyyP1mT9U4PBYVSH3kOO+UDmrbNZdN0NgRiNsHt -Y65L1mELMqVBOUlRWcvE939+JnNypAc+i3EiPS3MmqlkT225HVQVTddyH379KZpZNAZ10zUmGTzw -Tb+ZhE2t34zUfgBu+WMPL+LBN8zltuoy8i/et9kIeLOaVFwMliWzkgEEZtI5puO/C0fs483wyU8y -3x59PsFtvsun9ZCVr3i5lBhXO6J1O9qc0bCNmB5tY6RFq1BDxsccU7aRGCOmPuzaJ8VyxCTcRD7b -wxiit9Sumq1gTTzqnuFmlN1VZiakgSFZWLRm2bWfxoMc1OEofKr+1SpmuhxhhskX8Kx6c5oSxy0r -CcCUkjz36OKBp5brOQUKD9pq+JAaHRJUtg8ml87NcbW/NV2AWv6F2n0qIYOIOfJvBsj6deRdadJ1 -BCsd4T2Z5x0WUFjcrzVD3XL/g8s+fTBg2old4O4Cyqp+4iXuRMN7ammcNjn2h9b2/PvANGCTtHcp -IJnpXvBuwSV8xtSqvcRCgyqozjkBYus89k46vimZMTfkYEA+1vH9XbYYhWOh41tVGWUr0/0aGZO5 -z+M3/ggtg6RIKfewsXzTbfyRh7P7padL5VvA9gpVbauCYkaLiPGN5zCpJAft3a/AbRzuSCjYMqhm -m2+A8G+kHXnoiVjzhjDPhZMYK14p1NioYCaAAvLDiv0QoLms568W91RKEu7Sd0Ksmw0rWuEjDVYL -57Yxn48iW5cy+yrnZumsa2KB0L32hSByFRFY74gyzmRuMDbML4PG0IFWKbRLWkub4Zp9WQq/+bdR -DaEI6m95NgrvXsm/MWROpKJfJnG9fXhe9UcY3NW8FOusSZS5ORWGPyhFjnYLJ1lKpjV7RlvY49zx -2RzgVlVor4D31igLoNBwC1I11p4PbhFIu3cFXSwYRdVOjw5Xr25kc3cxtcsvDULAbsBN7aZb3y5L -3haIJOcjPeTNoNhMujRzvh2ZMgljeRUywOHM/NJL+P9hsKcf3N/ETkpHl+MH9ZTWzfoqCMU+gx3P -E0zJsgLykV+341nEKo7qwsqlv8SRXYlaCXGIW+1JgNlW6RPBuHc+Ywl5p/sX3P0wHZLx3KBjPMEz -mX6mAXNF5cUobgzS6PCrI9iF6YmjRSWgfEiUEvR48bEpt3wstu07FGK5o5ZgxFCztHct60STOEm2 -s2wqXxFJRoLd4yYgOWVplaOIIBzmgHZRn90xhhaq9e1s/7uluA46FPt4gzpYIbcW5GT4UmsJr69Z -UPe3KnZkg6iVxqmZj5L8VlsuqRWL1QdLuKE4BNS+Xfj+Aq2Ip2DraumqIY8z0Vkqp8kZJeAl+YHd -TAvE4xD+9oe8SJvn3Ku8YlnYf1a7lFjhDdZNJhcWRTpjDwX8ezRVUBRYusXidf+/f8z/5/CQ4/gB -Q98snZsuLgR+3hLwu4zTQnoqF/3Trn2Wbqnx7qL4yAboUpNJlCDFSp4PZMCb/Vw8l25F3H//e2oK -+NiCSgQ8CJ/IROoOiR8bkYQpdTI2/KDJCsNYSQUff2YKnV5MpB+HC68rhuWGeYDze2zfBpM7XMbP -D+1s0rGFOal9EH1rWK/1xtEJ5y1Jkxxu0Wq/fyfkpJCAvhVastWtu6LVHktWgph/VwhmGatwdqn4 -sLPHDj2jzoWLqZDtfFH9txJ3hVdk0sLPbtTeJfgjyspIA/79uqFnKJlwyKG1fKSFuxhIf5tCvHOR -9kcrDGKESCgFz4BlnugZW3kut2oGe1XF7JlYR1fNbDFSrgt+cxBoYCI966mBZz6qv7WANUWp8S2b -Hz2IQ4ijBTH6l7UnEsiVRRE9hegfNNWRZB4YT90Ivkc3cgzSQKPkkVofmnHLLlE0wk03sL0NKYfR -p4anW6KUDDWrbZM9V1BEbF12P8iaN7qze1vr/K7r88+pEgXicGHl923jG9iqKkG7kLMgRKgUfyVY -R6LFr/utoHfgOYbNzfxJgVySfF/ZR9+EKO/i2emG/44gTojQ8PPAkdBSmzoQ1r1SuQcys7re4qD2 -fpDO0J1IRp5FZs9MCGGk1DJpwsJiBtGpfnuz54Go3Kw6SGJfDdjqyKvQp37/OhV6FlDfAgM9wXRj -zm/MJAFwOAZOduIkL+6dKaq3xs+fKF2ADkczu5Jj1JX9+jHLmliILrhRAMh7AwjzqLGKfTpXfmU8 -zyQZ7FWtpfAPJxgoTtjNi/KByCID+AIWF/4F+Qg3hRYVVFG30t4jmZnKgnfKQbh53uP2XPwBv2ml -iS5+sDK22PFf+I+e83ExKpmGrFKZrRZkVS9l1RjAd80t3Ky7tTGH14V0GuATQsSOX1QR5+a6yhBu -uMV9dt/nlhRx5aaPcOvVrRk86QfqmXZr+twD7ZqXWQSQMrgN0O1yj/T4sv2q/5eZqgdd5AyJxZEy -TCt4ouPdc4BtFtrpvlZD2qGQYn2eNOWIjNrckas+RnvP6jIef/B2NAl/ZVBD18JgmIH9D089A5q2 -MIEj3lp0wXv6DWQ1IAzydTI5H1ABI4qoCBP6oNxby1F3qHxuHaAV8sEHQa7HDMa+VbfEFW4bG9u0 -prbqRn6lFoAlcZA7tJ/LDJb2cnEVzeBFN4rNs1TEBYkGq1QAkdb4kG2c4NnQbyza23hAxLQWR9jY -sNsdcXqrhSGCIxc3hZ/2qXODhki1ncjAjf8WLZ/dxelPVVUSghegWFqkqaQtm1KTNZk7u8spXT6P -XeDOAx4WLMeLfce6r1UF+AEDJwxkf9ayNBWzWBrAgOAAS9kR+9kAtCnVhjQyIIthYhWvAH1bJKk9 -woozKWoU3ZaByPi40U5zZG9eD7/7R0odMxGDtkj2ZHViSILWIBCm1B9ESZ+qe3tBcaNroNK+ikQW -9bMLAyZEKjXfkDiFU+dnWZnlMYI4mhkRW0fWi9EWIPqBWZt88Uqc/oJ9cyVIWGjdY2eXYKT3T2hX -o3s8y8UtZtlOUbzUK7NTh2Yzcjgh7n4K5tSBHJDh6tG0YHM5rZK6GhaTh6DgCfzQ5bZpYFGd+4l+ -ofR3JCf9HcLTWWqm6Txj7L9D1yKV7d6lT6tZvN25ofhsAL1n5JuH1yzK2d9o+Pcw1LX5i2NZKF4g -/bVyuvG7Bw5zjbqg0A5Ja8D+WzPDg51kRfliwK2kGN14wXIwsHd+37HCMa5Fhq41PBQlsGBJUeCG -i3U9e/LIrm7fJu8U2FbLjsX2DdKNBBjlGoXLBaQMUJV25iucIkDYAsl5oLKjyakKCvnZQmIKs4EN -iJQ373HqS9+IJ/6CiY5sEN3hFVisyC4qchVK/vzWhepRt4WUi3FM/gi8I0ZQyVIzTi5FD6fQ6si4 -zWNGBb4yWKg2X6+5k13sOaTVyX2iEB1j2BySw8ZnvrTwk2qSHGcTTqqWBZXyPvCXG4n1YGg/cbC/ -Nac5NnASXzTnousa5K8Ptk4CJJYpLKMUnp/m3321z9SNDGLqdCA+z9iS0fbTDgmSGtr+P2fUQebG -9KfuMzbT2nBluIsz6soMw6TSo2xRaJ8FU1uox2I1JHIXwnj0LpaCsacmJOaGHeWaU3AD0GTscGIG -I5a3M0vbRwjAdPxUvVj0zf5qjesqyk57XJly51iR73UKR6tRjf3ExCtuehT6R+nBtSkKPZ9+IMti -L/Gs29pNPWUD8E9ZwVOWzGcfNfNaEBlsTPzZGo0Ss4jgASxO2aMxEvzefCvJg9Kl49EDmyYRPeZk -zuuf0ZlNJqTzhdqh7yLJGryCtdbLpSSqXN/lIME2KIumeTNrc0xO5jyUoK21N4MG5+tNQ2zakLfq -/prIrFaUrO5wvpdUUJKE7H78MLOQmH6atJXvnE7+hA6zTjNkdpCN2dUTSzQT4ka3gRsSOV9TjVNR -1fAtGXfzq790B0olsXIZCjj9CuK736/6NotNJhJ42poguWTQa25xU6mn7BqwiYbn62lUwnaeftAM -5msCDDKW6BVLCNmvkAi0Lm7c7/D/JJHUdnKpNbLyZJVixQiQYR766RuBGyJ0ZRwylBHzvTPN35wm -2YVLTI8l+K8EGoQe8yQ6RyeMCcM6r+znGtw7hdr+OItW42tkwaIXqRgcuSVdor7BB59Mp4COHl8h -uUMJNnWk5Lm9c2scjdIUhu0yL9NBg8V1fo547Qte1qLgj+QhmQW7nd5trIJVXMCfG9FaGiVQrPub -4nBf3Q+ucyK1CEWONgSofyP5/KzuzO10cSXnsVuLm3A71UZv7uYaXUJv4WlBsvSKn+wK8cB2ctKp -clRfXllvvId1PpUqCctvAehZms3WptOdNjTHR3E+1sr6MAhmQGvJneL+Eqqa/ePNfBPqzDT/VHPU -F1ZcTtjNyy2UQFtTYG/y+njfKHltl+UqVXPLqEB4JTkRO/czY7p7NvGjdGiHJxb+al6LE3TpAVRe -rW4vXKZMrUsk0QGwu4UpiLbztsGUIzCJwNA8pI8RgN1jnRUvj5POIPI59kUVqpJcyi/zmDQq7pfB -i0gnBvh9kOLPOKCqGIV6qQjh2Yf3RsU95aFE4mUbypMcfx3Uh5HQ4ZD5CuWKEyF2d3b8Bs3731jH -wbECiO1kO1JO1/k3RJwRiwqWnaKt+OkOhqxFzkT9jHFz2n6V+A6xlVxCXdwfmB3P/ZzdaRo0A335 -aKiIq+lK2YhrA2qlRwYKAcU6m+15XDpihPyPc7uZEgQ/4ok6cjUHlMfIuEafMW3eVmaAjSIrCaHD -KE9F+XU6HJ+Cz2nxuB5TOhTSCqylMh3uIEt3XsqxpvI8ev1LMVwwygROY0Q2/ua1ucJoH7LTrD1y -ivhTpTbOT3y8F+5GM+vAQCOvLWgiir11dR+UPWLU8kcF5jcHcq8YmXWEDqzkqMrwnAm0v+dPs3lq -FDMKD5AAb4gLWjflBDJhlUfhIYAe8HBdgjF6ViLCsxzxgI69fvBnUfvzVPPRLAqggQwevW2239eI -ZvqxbYn1yw9f8k75Bgtlr7glISBHLyamQ5wv7QT3T71YxeUPuLVEQOf9XRivqNq+Uak8qeIvufvS -+Wpnu7coD2FoWhSJokwPmQYv6WUgoY+AEKvJF97/2wO40s1T7+jkQcMGpBny2p9loRUqogOBdX9z -jl+xuyQwb1JP4A9RjSF+OSkomPWQSE2BC3Jn+hMDRCY5g7cwMohVoxB1THr10oPZu5bcWU7Yvics -Ib9vKtLgJLugrPxkwbvIXeiS067ZuBd4PFKx97T/qWVcQsyODHLroItSWLNFp4LSYRKrk1FP4EeS -BkjCwELhZgN8AAwB6s5X6Id6T2vHDRQXnqTZwy8qWrOg+S5LofcoI8n5jdQ3/mvFUhRri69tOZAm -Vxbcghixb0vD9cxmbUOy4vWTb1XbDrg5hnKYE/FfkVY5QxndtNVIFS62hZ2JuHnny1rqPPOcspOB -e+P1pIC78U2YdDvjGKj2OprtG58rWfJYwfHtv6l76+6r8KOhveLDTbzqzzwDmSTx218BB5zj8bT+ -IPvydKn9C2wJOhDrnjsr3Wo2P8LAo3Y2VW14omUQ+FcVMQ3f45QI+z159d9TSxyEoQU7x4Y7BGdB -NNb3jhdEvkxlfC54vvipWUdWAQ8Y16/a7OFUuWZ4C94L2+7Rc+nV4ea/EEE0N3lAzuaXpyX6tVEA -A+75QWfrNBYa3sVlEsQD3dSp25VengmMG71ErmmVfUjj3u2TyTscU2ANj8oItKgRhqli6d5I5iQh -B+djRPNgaONzv3CFuOF4B2yN7S1I9zyrmBShGuVEpLzvP3xFgj7a5KE35Z54vMHcHIcQ/IsND3Y4 -mITWFTOfj9lGTfTDrwgS46SZf8WTuu+HosA4tGB2kjN17BBR0ra0PK/bn+QnTNarC7zkR8XnjjKO -XG/zpxfwZLT1aaA/a3oXjd8DECJjF2nlAhd6CTWQZOm/F0I02kqwInGHQQ/oMwD2IzH1ifnU1rT8 -BWjzxU4YRrTnZWry29I7EsH3YcnwPrmCVBMtp1A1Id8Mr6Zm+Aa5eqnMj5fOOt9TaZYEiB7RZ1hy -Q27DOCO4ZtzCjsLK7ukVJkhOWi7FRCzxNbzzl2iNs2SLe3p5gy8rJLsAg9SJnFMqkuQ0PtJ48jsG -qcjnxtFShp1Gmu4wNWAQvDzIcnVhUdNGmw6WBHSeJvo5S+Dc1Z5aV6MBy+XupUZwIkngZMZILRbO -sG/8kPVxM9GDUdc/JxGZeNyPyF0eljRj1BIf9kUp5Zyo6FWq29AFxJKn7WX2s1atFBT53ibZSmme -IuNMoNlm/13eXjOiQT0vxyPIq9ExRj3V8dnd3c5YRuXQF64tlAHEw7Fk+MHs1m6iMlKMvCTXbu5d -bJYocL+9BUNAcllLei/Ij6iTBSilA+vS6N9P+kgydIeOhpPpakzSrjZVyan7JBw9T0mUz3Gz/KZR -wqQcKwMt5Cz5mJLXfDfEJazvOX5i80Kqea4UtH2XtPKSoQ4Exqf0fDw1kM1oV5h2JnTNT4WeVyAq -YPPIsdeJ8WQM2zGjH5f9RcMNc54h5SPj+E/et7EGOhoPVFQXuB5CIr6PkvjJsulpXdzkJ09cTpyf -z7qCMw13I2zPeiS3of/xIGr7ZXKUxHZSnMqvT/9vJRpdwQYHM5M8tJv8v2HGfLtio56zWMJYQGjE -h7nrsLsxUw/d3SWfZsavvHmY7XbtcuzmMUaN9e+/H59lyLGIIu27R0mNxwKtgIcoR62NPl81aCHx -OFsvTiha7OJg+1WfND8pUmXZg8bW+Dsuf+YtmYWU99GS1RLD76hAqVr/8ZXVqGZ9doKdDyDKzEqp -RCn8A6LlPlgJyK0nMwYpntkqLB2IN7iMAk7Jj95KVDHdjI7AsQffi0tPWYErfKxoio1hoE4o5PL8 -bkjap/Jo/SoD3AMvxhYPEkJVvsdpWhjkWsLjv8GlMaP7qBHhUvC5zQJop8u4NF7MhJyKcouYPgKf -/a4NdAxioNKIdfr5TJo4fOfE65nEnAOr7Db0iiCPW3lCrbM1eiF1umzeJsTnaaz6b1SNvJ/+PgWH -9gexA/FPpW1u68EllukVYgUrNgkAPlDeYaJ77vteFgXAOOekJLTFl2/bpzZCD5zujp5dxhBFZGX/ -g15mCguQqrIg+I4DX5FJ16VFTIKbUvwZJDNL+MKjouwmoqt6gh0tg5qOGoZLAUIueWWF4Z0LS4Rf -hYY1NvSKuDStSnwrrchTfSCcj5co5K9srCkXsF0/oNpVGeCNz+EQLoCPeUpDVVk1x7be+2J0DGX1 -DygnlAQteCYEvDqvXL+4aJG1mVWoii/PeCeZyIDotvN9+YVFzbKfbczau8zclOX9Prb58oZd1LHM -px+cQ2ntjVuV+Jw1NaXJCZm/ouUrit2Oj1uajR3WvFQYhK+jbvVYodShzbkCTTVwuGYEXHozWCuz -gm8nlb1WNZTzIsRzxzicDE3ZBvqfZcWDMMJVRNiVtlC8hd22oCFX/Pr77aTdiD64aZkaRp9Aqr8w -REZuBkRmn/XStbbPangzS0GTT1DmOedA5oiFqmHMZgEu9etxjPaf19GBMnjwpD9K39Qheg1Ay8h2 -0dFrPHVub8oH1gNTv+hgvp7iqagtxE4OJvlgbvqYb6TPJF/uVKxj7rzkBQXcLw8h3AqbaDEi0NWj -50BzCaqMpA5M5vqNbjOkYjFxtu6fGGKjYMl3Wm301A3JDOp7QI2g9UyVEqbXU5vTheRUS38929ba -Xp6Og4crLMR8dgqR2L70Kjj8Zn4oDCmIQ2PePeKOdts5JBG5gE5QkszVXt9g/WlECJZpSCNHePeA -TcVUNp2ifdo6jk9FErb/PUNiG3+NP2s0eeRdj3pmj0Hw0jtl2B4oPE92YTGZgIfXdNniQrglE3Ey -jGiFXtQPMRatvKYQiPD8BAMiCtZY88zTLannUeEj51KDvtQhssAMnhSfC1eg4NfPI7SQPBYUVMsX -9xyR3cGCoKRMTc6cxMhI692RwOZ5ttY+cNft9rzBIKM0xGeX9eaKgBqwcJej1ouQVorYZhXb4zwv -j6TPtgY9U/qawuRqpFn+wR0+mgduOjR70A/QKirtRBg4A5ttNUNf0UdbbD7xgpeYpx3P3pOAQnKY -MmFbIVSzdDL2Nv7h0nNuNyIC4jD1iIU24sLJoJIIyTHR9XIzxuwq4ckMgiFFUOygRuBgpoIT8p7j -vvMY7LGNW8sN+fj8F+f+61Qd2CdXUiD3XV5Z5/DtDIERzfkcDe0XofF/H/gpjMGS85TwbpRHTzz/ -p2qTdq9YiEU4mr/VzYFV5japTqtVQY7xdcjNXx8CfbhQkCxHrxU2RVlXrSxO2zb9VYmwygr7W5LB -zBbfvu9IXA7CiSguDSACha+xNhpf35pqkR8AEtjN70/r5bQ7GQtFzl3Vu36Olp2apdffHbR/TxaB -Bg69BA6mCMtzLMef7cA0cJkmaKUnFbJwb4fXPWZHc01A9ZbmzpPNdxrRmwmEeO9omHBPznjfFKMm -fzv58Fn53M0y2/ZFAiHFiilKTK9FvLV5tWp/JBmoG6xDpIr+pqL78McrYCIRfCSt9DksAHKKR1IR -xfzxuvMdmnccqXMtk1iOazU3BZTyobygwlv76VnNfPjYKsuyRb9JMr0cr/xLnHYohq5y1ui10XU2 -9HunGIPkQkVTw+Ji5sl6BkN6BgH0AxhFTXom8jfWUS8iMq5GySVsfN7hs9Y3OK2/mpT3uEUREucL -2wzIcdI+10KStm8yo0SA8l5Wvbf9xiiroiQQQ8Z8IH1HjJrUZk4lwrEi0ka0CzL7jurt/ujPDVOe -8tYWEyXPQI/8qM61VVGcLps52T2qsv52m3rKRYz00+4Qs6yzemT1JxhEXx7Kl61U/Pb7SAHngy56 -UpKrW0L+IPVouHbZKtPEWNiXClziO0BnptTkPRoXDZTazEJ5a3nFicWS29xRlHp1mTF15RQEbvxD -RzBgAdL8bO/Am0EQXIa98ER5YQTJq1hxeepLfNH6566UBJfklF0J2gYflL8haSG7ydi4lCW+CMH8 -aflZ0V7jXja/kNOFmethbyR5OBWjDeOLx1jRDyqQv6MIevh0Q4U3xg7Gky7cO7JG+wQTtzwii8Ju -P1CJADqP79AmJN+abftW4ToyqTaPU2iS8E2cZsliz4+bBBBPQ5PoyOYIPvwHIeqf6OaixUVGzdlr -aRLu3ivTsFyGHDVj0zueroLca01D0XeOKXbvXf7Zo9LhfzSMPXTv9pwmUj9oi+dx2yx/HE+jht6m -y9yo+LDgq4k9RtdIuLUnpiD7Re21NkeNrdNArrwls1OL9T3Jgf0eO55JVCVLPIFO/qSKyBGSI57z -lR0Ot5LL7WqZZ8+iL7U8MFQFNuKWbSjtjeU13sA/a27gUT1apiOXNZ3jCEEQR9Y6rUewVYWCiwSL -3Gv4VrKpTuA1cK91JuGMS9KuKa2k7+21j9420NZpQFIor/qt037vS+nEb4bPejm8rQQFQ4JKBVOZ -iIlIaOI1prYPOkN0pYXUGQRjGujKmmn9EO2tSHKZVHloHvlu3Qr5ddMljBhVnghZdXOFxch4f0fB -nZr7/pWVQ5ZbEkKQngsrgUxffIlAcFtxSEzTQOPymBFFfgwdx0+A0ITSVOw4+J/5D1a5pHbPtw9K -2xBiMYWW7S95LjTMgEyx3IEs5fQQhMhRAdiY+d81YGU0aznXyBP8FzpPRu3WLYGhRjXVQbJs5toY -eWd9uIF7ah2c8hpuY02+MEMrMGHdNIVrlFxBm2daGFSYaq6+ZLtNayFJhhlEqIBEtkMT4gOqjRvr -Y5B2DjL6j5P/CiY3Ae1I8VYUtv50vGqrcYTB36b9tHZNv1kIuWrLkH/yYIlNjb7f7lKVu6lprdCf -b1EsgTGB2BTvRp+9e387JZneVzPJ6g8RjXKNIPoTukQwd/7gQrSM7A2HLUzptRpbqLe39dhwVWeb -E/moskZFCQZ/sy7M0e/ZHY7Unddl1BOQNE7t5AUSUn3qqddO4Bq+K25TpsDHiD16TUKXb+UTh25x -IDSVpN9uQA1uSvI8kv+HcaMSruvy36YYjJdvDFcQBnYlte4YOSq9Br5+eOc5bskTMj2Ln39INTRV -Q2CL32kdf/pjhgucNlMzurs76WJhwNu9kYoWXxx1V1zkbitPeo2sOOwNUqcRODRW3sboR+aZtf+5 -btHN4baH6nuh8js4kj8KVGtl3P88tKQefdGquq+HYkq8BgxJPvI12gto0Kb0Rah3Lcedegln8kYF -mkpbhLNjU5IlwVz4f+wHBA5OaPLYtH7QraYMSyLNageAQKiVlqCNrwlBHGyz+sLRIiNu2sT/fOlO -9CHDLF72R60ktE+lS9A8lGX7IliqnWFeGJ5svKeJpixIaTH+ZYZDxXgAoiq8EYiLyy2tbMJktC72 -jBlBvMs8ySOdK0gVgvbt7BiWwuo/gb/gi7xixqn9iWTMJJz/faMguFkVlzPzUZ8yYiu8r7J9bHPE -hdaRkNz0YJPOMbcjzVNzAxhmELViKKZJXcXf4CgV2etWhEOMMKz4ArlLjBLQLCy4t7TKEBBYZnh3 -3qF17abmtW0JIZaKjS10fWz+0I/Czc3JR1ORMhc7G/NIiJnwDpopenrtJHmsex3MyDnZoZjJ17Jb -JJeEYksZWAS1Gi3rRkzXK9ejbQZ7IYSV26t7SBOi088jJpWwkL1+PU4R+ylkgXDOoc/CP5N2kf3K -0wEY1BKpvhX8mJuZfUu4rUCZas6fKxKEqQrg3o8/6/EwsF4ETv2XO2jPds7J2PAM+AgL2VzEcn3E -s+JRMU9mlpKVavPdoTNodoZGPuXIHqkCt7TpkFXwomPgMir6XHeU66eAFhSBl+cH/gq8MUvFer+c -fBPi68hRf+O4rUkyII4QUCsRBx0n8/9dW8iVCBLplLJIIOdwwfR7L3hSPX6y1pdJe+MO1twXiN2K -3ilPUgcb25Sf/SE32+JEzQTeZFApeOIdLHX++0tTMgZLdGU6cPsjf75uwq0NmPvAlnjCS/oBLXJt -xeEI3E/BNTgV0SM8Z/WTConwc2epqSXqYGaW8JBW6D1yD9op6yZp6cyA8IM9DET1hWtw4+QIfZau -HZH5EqEKgXlAkrv/Ez7gcsCe59M7hU41ntd1kSLgjPQv3V31UkeJHSauxnBJ2TrLRj1yuAFJbB07 -e6SGSVuPBEXMK7/42zIz27glZIZsYayqFy/O1Xc/8fnxtcKor49gUiwmNmES0QRdWcXSSHxDGZuv -DR0GRTeUurirYjHz96rc2lD0P5hPD/f4DV7cxE9+WFsf9K9v+YmeRV90Touwd9JSWjjYSHqpeOAX -G+OEFFTvF2ldsOegRapvsoe2qYSoVDq2eoIj8GQWTNIsCo4q9Rpw8+Rxiqdn5Aa9pyd623XeZ+Fg -eRVgtpLFtVmptebsbnpnRa3FsYzejscP5n7dkFe7Uq15RJBPUImNEYYSIRJLn+uhACtqJdjnpcJT -+3JBJuQNmEgN+zl8uDbF2A3b0d8Wg26K5J+7vjkFcEOhnCdEN8um3qqsC1fGpNWkp8Yw7c/pVmLU -Tn2ddLPL99tCuLwCgy2rVRF9L6WBUDxc3Atn8X0giIAsvaGMcZTfTwl6Uc6YxU/oY3tb241U0h52 -f0ewTzfFf+0yhmN4Tef9J6eCxwkpsyJHRC8UdcVkYtRHj6tcZGNn0KUKGo+jlOJsEYeKCZq1ClqX -zx9+oq3U/UDFK+tcl2ylTv7FlCcPkwK4pMW5yHkjhnJLKo5tqHxWm4agi41H/MhP0+o2eZQllm2k -hVAikzG6NmC+vkkF1w2EIverz8M92i2G+GPuwQcGIEMDc1cWmnTQF9NQMKljjWyhi3UOvK9dqAJ4 -1ZtgXbG6yAwEuC6TxibvMISXP6xeX+4sN95xEiqk6+Or6sn2hFh1QxBs1IJsoPg9E3viREm44b/O -ruPtq9q2LhUkmAIjACeQJdAca4qoyKFWeGwDVIL6FEfM2e1S6F+ioTm6Lca667+aljN8/yKbXp9v -EPTVKk8iRPjPF7oasf6+NbLkgNVc9O5iCY0TfkfHdUUQ0FKl6T1G6AGg+9kTuaBsU8B0sfkGpKzV -snk4k+nkVAy3aIOpOCcEXNmAghgN0q6l4SSYaLk2wr4VKIcCuPh9lfVkiFgFhK/WRG1e16Yakrlo -88/oVwYdgfnu8TZoDWbJqk7IDqnQY12tJ12jR48m4qLIbmJ/SAc9WbMg5eJUylTn5D4SRUhFwaqj -OL+UPAQlmuAX84cBi3a5goD/ixeY/8TV9kkPsycMpiOgO+zMNnG/u0FzeLwyJpxpv3P7t5RzsJ6g -fOxr2Y+LdOF1gEpR9pNHpxeY9OAamZbGPJ98vlpFxuTVmI3ngR65XG9ZYr03UV54vr2e+oYHl1Tq -leGUGf3Eth89Ez8H8M6ni+q2/BOijvIvQawc4UPXxHu8cYQRtmEo8GmBn1QAsxYFKvKy0ZEBZmDX -FCGZsFjiHMNHv9rZ+JdeJPgpJR0lgw5zE0EZizAH6xKyW5+2fyQWyv+QN1BQwOhK4yivTeHR6kd8 -klq1qcFf5nkjJN0AdrCpDVWAbBzzF45eEPWQxGPWmseKGAfkkHgbGvcXuzPb8hi25QT7EzNNh2Uq -QS03+76EaGYZP45DiOGrsgE3IdMuc3PU/p39HQZbKZXhiJ7oc0Ju7sndMKC2st3aZ4V+afomdbDe -+0eDmYAcXXdRZ6w5foD4oRt66gYQI+e/9GYF2Xai09MV18i/R5XR7KV/ROtEmmCV64Cd7wAQF8fW -Eom0tXWqnVq4nQAXzein1TNHGjwQJGhkFkuAo3kOp+sria36/kyhqutK18DexsziYM2g9Ydfwpvf -18Ez6UxUAU+yE1hrZmHYgYrSL/DFaZ8FeQOCasgccPxSD4ra0OEX+bGRhI0i9HSERjO6JdovWigk -8dgLsuwfOkF4UBywBY3e8NQMgLc2g0RckASXCdUJOdZf9HlhETrBXAKMRmUML5+MDn3+wsdFQbwO -W5tVWVyzmnLpkAeOFDvi3n69K85W5UOt/B6L5U5y4Ek76YfMOvyRH5EjDhvhRpz/vuqhayw+cwNd -M7k2jXG9D7tpChtiuzuPYRvI5sdSTa+p81tHvqQr5cbcjfBntOycFkpR8elYHVSXJrhvaoEEt+7b -+dsvzGKYujYIYPMa1aJX+RQerivAPGR5Fxx4ccpnD59iydqABpbhYe7YmBKcaZfVWp5LcdrzMh9c -guYjWhlj7mYKEARrK9nEkwjHWDNbp20MQETrQ3H5DmEAkkSr+tt6tcaZqbpd1U779dg9ggnOKMp7 -GVWV6bXGy3ISC1IUFwNASrnO8LncPu5WHzqULRiwYX1k/6h3P4kdqTENi974xgO5NCJLN5oVXaqy -7M9NKsq4vfYnwLru3TrDBOGuqlRQ1SJapo3eDQTkJ+vSTT9d8kJeeLIIKWzpINel2lmz8mA8M4fT -YlIPuxWBtDxmEHYKPIzT3dB+2yZyzSWH16n7oJTy9yXtWdA/YdrPaoSqAR+rwd+rryqzbY5xF73r -FpQ+MZTM7SBJEpoj7lUNv28YQtqgCXX7DOR5fEFNGfAs5Ah7kG/WH1tA/9mCQk0j6CsQABQVezJB -shj0h4PzyTftc/q7/sUl1RVA01OZgAGUKipqBqxQU5HRE2HtoL44SP1jpSeZKp81J1AMQDD7fAKC -n5+WNizRlTcjs/OqLzlFUsfTdRHHEB/ZoY2gFweD+AhKkTuZzDatYQisMP/MQ15+bLQOI1h0Sk0v -0G3ww4S2OSanl6mAVhTqz5r+fjHqoJ5ZqZFfitdwL1U96PvZcdHT1j9lSaPRt6DPIYdC5Ub01Uq1 -8hGfB7BnPt/BnW6JAQfnPut/tI35g5E3kxjQmhA4LZD/IakR88BRMZNTlSRrk7h5o6x+llUuUxaP -SEkysDByLrQDNT2pTWxYIYoWFA9/9lSEuJ5vWUBjvscJF1wT510sP1kF5Q6VBOeh9zfeHG2PNLI1 -Kr0AdCqY62+zpq42jhezJun5ILsUe/otPd+Ro/ns7oeb2V5NcjniUv4nOXUEVh6BN/FvhKA43QNp -gODuGpa59WSzRtVkFR8JzGs2XMtjfRjnaR6ILuxjnFSEGfRcvINh5Sx4lonGUagZSW81fBeRWOv9 -UcOc3Zk6c4fsdmsOIqFf1GuBy7ztBLWPDloDarcgCR+kqOyR4rcFTVYMDVCmyX2XjjPGsU2uAtcV -GD4Cosa5N+wZM7zvt0xIk/RDlXwT3jQHPddngNbahrqK/tKr8zFwjxCm3WzGqePQ2rS3CrkBOYWB -pVJhDiXWell2pe0vlklM5CvbVj089fj/oaH2u/yGFRsKt87sHxW52bT3BBrHdcv2VDwaPD9de8BU -3xH+dxrkY6FBZ4Eaq3e+IZjXyT7bJI/HjTqPKpVb3timKLtZAj+Y1IH7/FPa0BzK+olXG1nnTxQL -4yA5FyGucEZYqyro9wEeqxgNRWI0N76yj+RgLb2a201TZZ/or2rI5cPtB89W18m3NUAbh4tQKgWf -DpDk/VQSuoPTP+SVH1M5OwbgnnUhUIhAYK1xtex6gjE9S1HjA0vKlpM9hke8hvtPX410MjAfwRdW -JnrROEivDDd/eHVgzASZmLt4Q1ezG8KoZ/X79GG8kTRmeL9tAOes5lram2JVHYRkphfEg/PhDZUs -P0/pcaCDKv/64GS399TqLUAbCDnJFBmqLUs1Xbbf9vQEasMWFewARdeMF8QqaPg+ZGtK7ObOc7j7 -EewTyseA/rtqsjZpjV4lGxPkP1QeS8mcrFDxR/q/12Lech/iqijrjTlnnN4i5zr5ugidQagG/2ML -g12w6EWM2+CxQxP26m2faATckbH7jYgwSQpHf5Y1jBBQ9YqT1f+aKu1ItP62DSba79efdJ+vUZR1 -emT7TUTfBS963LdCvcxslLz0Q5HKf6cLDowQG6eqssST1ga7LpKwelNV/hFHr51SRkhUEZBc0KYV -oVxXIuGiNt7pt29Btx95tPTtP5LKCd6i8fHFW2XtCgtOuTQd38PWYtUOtCviJQJAuemb3y8rJT9c -xeeq7bcunrlijl8GSq5IXZl01RtGoIkHzRUzz8loeZgZAMW2rJM2242HXWVisWhCa12YuUXiWHYO -gwXFvatAhtm0f8UVpyseClK0ajkhoVZ8ryF9g9W/O2VZR8HqO97b4i0z+UquOr7Y+F8SYUOMKLQF -YzswJbK7sl/SqJR7IXIrsl5PsplqQpBW28c4fS4zhWHxicqe11+bYdP4Bae/Z7ixJ+4EyCslgokP -MR1FyE5Nf+pKEB24/gE9rbbTLpgeN9k6tRaVPQZFICHiJWkP/bFboG94o83vFlil3BkvZB7JSTlD -noiozqXoixc6mZQDXcV/fGhGkN2+Trdj+KMHH0CIUS22j+YspEID4Hidx2EYU7VQMivn5IM0QfLh -XuRAMvSXEQz+s7VqpHhF2giAmczeYHO8iq3FXwqfV0l+jX7cfCUiwHj6r4Uh2Fm9o57AKimw29+m -45+xvjad2XoQrmowu15YORDSHCLenXR5e8P0UvbWYOCcztLqFUFwvpLakapOHrbY9Ue1gLPRYxEc -FBQ9D1bvDMUCqotPMyw1h4ZhaJlz9TvbQ57olchl+sm69UEenentOMjLPYv3t5zt4A31oADdAsZa -/lCmYFK5HxHkRN014ZeDqNNIoEUjIjCHx4GoK/Hj1Vzs0VVO6BcfDgG6PUikxbR0/ZeIZpKb9hDq -Sxc1T98pMCc6/vo0wNi0rflg1PhVX0TqxkpSTR6XH/pDUaBZRjEyscJZ0qysMrCuRDWIC6Y8YZgs -nSEeldzbvJoFo4JoLO6XRCm+OsvWv6G2I97uOQ/fY9x60G2f9HVgB/cGLPwnlvnjjFnej/c5obKB -Ule5lv3QFXGadQfphHXYGkvQYY+Uz0a9LLunHfwVtAxgszwZf2Gw1MB2/ScX9i6R8PQ0g3qg2XWE -ms36oEx4SgD7Zwga60w47UplVCyZefior6YOjteq5G+7rP5FFUKa+xMR44MzzrrSscIb+RkseszT -9UJzym2QG7RJ+IetAMRA3UT2wCflkv4r4ygeWXYH/L17mSrjX8MFhWS7EUDxR4MN4s+PHkESDvsW -kWomYHT71eBu2Jwn6fNBdOYtPMr/4AlCLIAD12yifyo0sHvJNtMIc7J5wRo0QmHUd9e+f4tfL3GX -X+RxVX6WUdtiJwTWtEUOLBW+asvrEyqWb3Iaw++cQLvGdxc8sdDAf7mWN+c+ExjU9x+7cPnicH5U -J+y78LINjZ2GjcLh96rt6w3YjBHsoeNQItg57BpdS4AOxqYxDE+FepH0AhE+dBplfkgyRf6BCYlx -4kSXeLLUJLYEIMuZWO2evIJ1lx05AmWCE9v+TCottx720c1dhMeEBk9vI/ydMJQhu5+xhqZDBcS4 -g3Dygw1ZCeieaODFiuOwBMPGmcDOEIBX1QpOSO4GzgbhoKgbyRME80OwRgTORbY/8CsWRdioasLg -TW7SS463VPMTG2Sp+W/8oWP0uyD0dPP6opq+JPh+IBuJ0zZHjtNNw/3gSDiH0lChQnStM2TSPtxW -vK8ODWv693YtWrPgXaQdH3QYy3k0R8wg5JGT16ufedKGPZ/aL5hNP6duvpCImuXS3zz6bzHudh30 -lMKYcqJogRbtiAXeRatRNuHvFH3fPngrMsIKdGZ9uq4i4Itc54/j5XNZWH+CtQDJxoOq10fsZ5Kh -QaJgwAr+e+qnE4YSrxjIXtspPGF0ot8TMIyIzb/JiRYWOMmsqvDj5ZGDmVddvmofpzDnMknzvDSz -E3dmnnOwrjSptF2pPPfLLyrqKd8Grec3B+AAflP8Yt1DwNbccfCEQdZvoEA5F3V7jA3pgvNJObTJ -rjSW2Gy8tiXYVzTYJtp6UApTGVeRqpkBdAhGS1VlEFggOFiD5UMguujEKI4XJtSBp/HqXtXUo5fs -yvD/jCxb5gvVLM5FC1Tc/GojAow43+oA04oIHmNe7JnCE3ZnOK2xpY3KtLB+fwF1MHsy/IpfPXBv -qD1Ri38OFocKesK+g+Eg+gbVf4bodb9dPeDlXfVFo3L6NHh59gupj7O25gEk6gsSZhL33p7JxKn+ -oYTKDVLk8EQDhYgeYawcx4pscCDflpQkX/vO6z5T3aKwaK4Ycpr+I4GJ9pFyzHfXERhnrE33iML+ -pRo9nKaEFyciyfDt8LiaFYHMniz4h/X35q8Nit7TNAcnKC4KUS7l2TNiOHEJtHnNOCbSlbUlu4PU -AiE5ew3jSpR+lY7Ey1PnJoto1TlbOVzxHgM1rYjXa9ZocP406l+ARccNuoHXWOUP7F+gVvhvRXxt -9/dmky57GTz7p5qr98b2mIs3lLRMmKivk0tl57XqVS9BJOeVEp+CWyi01/Uctn3oVPSZCTNXo8+v -2/mTZQff7BJFZ4kgyJ5bAthDrlh1Lm9SoDkccrcm8ToEfiIE+ZfesnyAYbPXfbuIhRv2tI/0o56s -oV4f+gpsvorQNkvRr2Inf/IVl6wscJU0Ti/rdOvWP6tjIrOTH5cMqQqwF2o6qT2QiaLtslat/CCM -HX6Rz6QrwrBPkS95FZYYZNt7pPCKer5yMY5NAUp34lwJXLn1PLf8gq9KKum8Hsjbx7NG4YcU1Toz -o+/s7cFnqqYhseR2AHBA/ZWsZue6Wd4f0gEs2F4abw3aVHmwmt9pPZ0MlJ+4YFok83lkgfFLWEEZ -ZtsJsNVdEDMjvFu+tylLRBtlFtMQ3Ad8Vqp13zUJJjHxB2YlF8YmovvgDgaVX5jGNeqP/it6XX41 -m23FhA5f8EorJwgnLXmqKZwYQHklUPhuOVNEteCyjDBlvga2wjdSxkW2XjAMb3pI4jGl99Z85Tb+ -4X61hhDI2aJlXUeesgzByqoc882q7182tKGTZS5YGLWoq7/Fecuo0nHm4v1ZS1ByCSdw89Megu1+ -g6n10BPKUTwEgLjy35NjouCDP3pidZi+Ic04c4iE5jvZm28xGi4fdY6QcqZhHItoXyJDORGn36+F -0nnMQ3Ib+mgSaC/2SMbdJ13l2kXaRsEnIWJwcIPU9kKzASYhdUiokdXZukRiwntTKIps/6E1FF34 -Zuyh56Towg8LKG2MCBiOjDEFdsKYUteZt9jwSX/m2cZoFPm8JVb3gSSqsDeoj/UWKeX/iIEWZUcF -YiaHlLi32mD0WTzLwD0zOC7arsnlm/dvs1RuFhy69n/PUWmADdpqor49VATuK8aFEA3cgTLOyHPS -/K0OkjIHYS368cy3xUQHrVJbCavkBWYZ41nwVuLf/OX+vmcEgQ97rSPNihrirgz9jRfD3mEtqYcZ -qAOw8RIyFXn8oNwr0ZL+GINLGlL7x6ZeLus9K22wDIjRCRnHgo2aiXte/3eHGbFMsAtQ1BcjAvjo -Gr67rOQh+yQqp79+famTLeyXbgdbR3mtqwpYdEXMya4UyHYV9319lcFvcA76BVlLi0OYg7mBrzgp -dtOjg8yYba3xTKq3QYHsy3Fp2YRc9jyBrArAd7VGnJFkkTsAb4bskllvudgXpp1srLgXc4nJTQXE -z43DnEJDCvFXaub5UKaUPSUqIU73bvUXN2bYdI+tVlhckSnN8Tj4/xbpmHhgFp4CrVmhv6CdyGh5 -D2mb6wqocsUOXZ4VooJwFK1SS/ZRIEI8GZG7dejzii9LarJ8EK6wwnCu/I7nYgafDXd4Q9Gt0XTQ -5Tjaz9g01nXkXTpd61VfqAxyy1VSOb3DfO9Dk5liPyb1Fs8woR6Yj+ZNlTv2xL4f2cfxBHLqzBKU -+Qpe6FsUq2sg2NjMCYWOWXL9STqddQrjM+a/mhJFHwM0W3PWddALpPfXBDw5khC0WqvUaKECIJ9D -2HwmyYAjPjEW+tk0qfV2gtGc9YR5DJ7svs5j+I3TXX7/LQj8VaYj7ytsaEJAhY50bd7H/d2v/3el -Gpg24Veacvt1AB5R2xCLtqUL7WGqTsAhvPpgk1hrJGe8wrOqqxU9mSYu1af6ar7l7+xNuHVezN4q -tl3AlqDXonYrZRUAbBILXeGEaJ81SsMMhHr9IFdklu9PXnWoQii/RtHFbU3ZVHAkVmx6TyZXVvQE -QKRJAeEPtwPXICXxuetdlZsAF2lpTAbPz/NpWppxtuBSkahDZpg8KgCEjcekmRLtncJiZDQ4tuhg -m3/o/z0c39C99+qYB0zC+fx8YEYEOt4SDI+ph59MgipI2qrlnEjTlgAVGxZDI1qFb13ZBKAlLJQb -aSCRqbZk2VcbEnR5T8bpSwXTnlxd7ug5JgcdcCQybRmx2RESkNn/6QDij/tR6wARg2adHu0ZboaB -isJvvF7jFSipruW1Nl1xXLW8/1UMYhyyLx5dr2U87dkaZKFDginqZER7H5l3SBIb6yxztX6mdzxo -V51iQ7Pm3Emyylb/1zp+mkdG2Q9q+Rx3t76uhAI1F5MIuAdyaEV/Tl12bZoGnGlNqTIPbTfjoqcJ -NMNd5b1edkAIHV8FTPvyPmiFXf2QTr4jzZVkrm99Mn4DLfWdMgB9gCt4L4pQ22JjO/6y6koB14US -whMqSOop55yYyKIJ/lg8Ju3ROMNyI+XGKY2lfeZBfUamKqwc1V19d3s8502/wdCAQWXXgbutkgW8 -N3erKPnEJNxHiIK1UCBN4YLxDuHVZalbueJ2hwsJ0TJrWAwAY7bixoSJFn4pqJ5WGJR0p+PjMUb/ -MESEQe7zIpJmI+KZg2CZqXRg/19B6PfdXYdEfHD7+TCn+1/Ctcg5q9oF+T+Dt8l0uGwtf5q70tBL -SoDwMN9hUtRw/Cks7x51+O6kQfk7z969Wir8d1JTxmS5uajWl4+7rVE1ekSLaZMEebLyLKVirpKd -yEJmSUumzj2E/9/91383n7fPTboC32mdGtAokIRZlFi/RtO2n/06HdUMccdM3+CMYIZE/lbZEcxo -3YjjVGv6jXFi6xZcZX9slAd3g07ijjzZESp5nachBX3eYxlRfvdC60AschvqOWjkkznfMMekwwVi -NUtK9Moysqx/+vK5EZ6klJ0umiqxxbsnJNXZcZnnjTP8qhwIXFK9L/o00/3tibGQRtHVQvj3lq3v -EJdJvdiV4BfAQb6fewQuQtF7HaN2wAmPyKb2yN9GPHEMBbEQDSlXZywW8uxaQI0VIS/6XyV3jxp9 -BrrhKyQfXp8hr66KhQW8IM3fggWoBxDgK8aBi5w4lSoDi7Fv8w9x56fUxKrWT5VFcJkUZo0abkvk -Z2jkyfERKtc0KcmTb0O8e97NkJR3D5pmY+rdFqIOMHB6U3qC+uraZMyVBOQ4G3SJ5G6d0ckfqOvh -FkXEXqJSfWmgrYVgdSdR698Bo2Gg6e5AgwtRJNWxmnxTqaNeZGJjJATP7OF2EKMJsWPP37EURb3Z -CknGpGbOOryKEbDfgueb5M8BVHP8HajPu4NvYYDhu12xx3bcTD7LsxhkO7IyS85ZC5UghekIU6O4 -N1vGBOJZCRcz2aLKr5FWTWyMaprJsjtxyR7+dQo2n1W9Tn49tTk9iyuEZc3dcHxZ+N0+4BnoaNQb -xntsGOShPGDyLGL2+NTOpEANtyccrF0lm7C8zUkgLjug42eOyHP7hTCDXd6UZ02v4uJOG/IcXBMu -yCZXFB5KnfjOrBlvOrmAAuPzx3lh+PUyXDHMT3Hu0lKLKx6oJjljpb+kL2xXxM6VnNsCVOSQNc6d -d9ZZexEpHSn+F9Aaims7CbmkmnnPlNA+f8w3nc9NeCRSV+kkIYqJM5EvL0ehVtSFwToK6GAY9UND -ofLcI0cbOqXLDPqk1QyUfgD5orIC2XpFp5YzKuNCNkgGtGAb7g9f9EbI0TWASUOdg0ofOOOl7uH4 -HTewLAXBFpT4bkOlvmUM3iLmpn4FtGVqRPJaIzxAArNITijcGHKRQBDC9yWZcKRsDtymODLdm/jG -Mn5YAwIlK7Gc9QzjP7d2a6z85BmyGwlnvfYeUJDLsKHCIskAQtk/+XkQnJtcj57S3TG/FTg4+pQN -nnbAJO954pKOiN2ICerBGf1ftTg7fYS8479BsOSSjVQQv0cLbS4pjsPOMr+DASnNbHf74mQi0X9t -215kLdVviFO9RjaF98b+kvZU710U5CQnOTjMnMr92finUPQ2qOCdHK4hZB/ACcqb/zknlcSoY8K3 -F/uuN8B78Q2MMI6ng4uP5pBCoMr1sEUiSapEYXAyirxCAwhiqd9l4PyHRfcWLatoVlS/BaTPEStJ -Dmlg5zEBQrZeMT9UXk6AsdA/E1knc/4DXYhjoBeQAbspObkVjxB1izXBxc9ONDEchfFCwLLOYXvY -l/hJpVVwlqqnvFSIblGh3zqxARxyrtHnQbv4xQSlDbnNlo/Qz3g5r/M9+JepAUXfZki+QRRAqP+R -2eW5+O5XsdpKjVY2zJACcnH6Z3pnrfom9Ztmkr4bESCuWFNXE+YvXeN3pTR6OUNJ0IIAYSYwtPiV -ix46Lp+Q/U7jisb7xoAve1r4AaA90mW+pYaiKk9m1fbTKp5pppoEbu2lDXcfSu8ZoEXjhqyMxZZz -TVyS4j9Pr0n/x+pt5L26wV+Hy1qMtpi2dzFTv4YpnlCOPiMsT7jW9RAARB5W7AaXJliEA2DYPXu5 -elLeiETn5BTw+laUiuw109u/TgN0SrKQ70S5S0Ikdsca5RtI1Lw7+kAGpskYdcwfqtvc2nhVg6Xm -VNaY3TInbg5GmgEseDDyUoF1JQFC+29c9HGW4RfNdQjLZ7/76VVTzVdgshnqsDcFa0TY42DEJY1A -Qq3lvWzCGFZ5Cn6jclu/DZGS7hcAI0gN7TeZiFcFLT1nZlhKxiIrRdE+7dGXmgE5+uVhbaqMvkWu -AnCwreycBcTqqcM6HOKNy4Qe1o46oZodR47AKlbqPqbtGwHx/E4DFjloRoK1fON2idQYqx0Rxnmb -+9aJbWqRnGr5q9iVG9TaakiaG6DZhkG6vHpMSN3hk/FXiyfgbxDgyfj1Zbt99udmNwwbb09HAiSc -pcSAFnQtAu4V88pNprNdSFpcpvzPrgvGD9MKk5r1M9U4AJhxcsr0cv/YYK7sm+FKct+MGAqy3WNK -LO+88XURq3szeJTgtXLidMRAZrUp81r8J0VyWCFcolrBfEaWqqEm9EFz4sswG+wL6Z4ERj+9zCg0 -FJrQe1v2o7QMeOmlsxocLEfZ8nYzXhpS5+Gu/ueg8RVzKWdEMik1lYkyNlk9mvQi+BwkYM7yC9Zy -+CWIm5cNGkenuwIpm5xThPwtzAnI5hfDPJ/qPFz3fFgmGwAgYvkBcRnYunNS1SbJqi9LNQ9SVjHj -QQdwTRffIyIkb2ajUq4mTgdi8ZHIM8ibsXT+IESbHMBljyyxId6KYcsn7GsO4XzuvPDmQuoWX5wS -O4addcKeAIGP/MPZJ2se3M4ZDQeNNzvSlKgBZhtzGTo1u3pvZrl0qi2dCWN8EIRQZ4klaM4npRu7 -DM+9t5K7PPvms6RyE7g5bZekJDTddxH5ShHv8halY1fMpoaazik8ZuWVv2M2iUt0v9JElryO55ip -EPC9Z7C6Pvz0NnFfsQ8QSElS8D7vUygQ+BbZQPb2i6xAV0xW76zVf61a6ngozlQGD0CbJaH3OYKy -lHwaojBFqJTfRnpZNpA1ctu6faQnU7ssw0fRtyRMHDShU8rEoBRASBeE612YFdPMOPD29FokOC43 -sWy7O6UCWW8gz9I//OsgMCYaNH/eNwm0lqND6T+r0bOxXy1B40v+Fj0Xf0qKOge0OL8jDK73e/SA -4lwH+43MviMOapsb5ZxDN+PS/Ua0sP0LiHb73yb8wl4Fy/Rt6knoIDjSVIMutS5V/qaF35CgZ57i -45Gt14Pw0iK2gj3gYcPVt7k0i94ZzWolJH6Pff4ecLW7892f9M/dZCLgM2+sdr+XVq5fRhAPI5tA -3SrEeTYeJkPkQojk2Vb+gn8adTkv51NKehvn2+bm2qBZRNOtIghegKAns3wzMORc5jnWhUV/bL+4 -2WSFFrqnQMmQQRtCae2sbt7zqNZvp+OFgy9z/g0iKggBaEPZ7gz3+GHG1S0vSQGE+uFKLxsqnyrJ -4vtLkAhpZ5IQ/UNApmxI4VvJx/ZaLI9NXk78XI8kznCdavzlkEI+ggkGSp8wEQKucV1jQlsEkzLY -7mfgBKFQ71z36IV9INDywJEh9OCJyDGZHkBx7+DOgClbGwYmro7JHDszJCAjwqXJV9giOhnY9lo9 -TLebXDufm1Y9cakML0E+yI6lbpcYu6iNuGfQHYOePpYfTj98982yoKdmJnht2HwULmpoUKxi/HvY -mjNNkbYN/IywcsULKfvQJ4PYjmRjVuNVg7slOImLamAM5HlEMS5sSEOP5hyW+RF1Z6zZTIF1IE1x -gA35xZRS6YLd2Ym+dncuD5OAYy6vkk4V8RUrgPmM3LNIwDdENIlwCqpWZDvaUOHkfEjBCz5euZcO -IxjAgjv9lBw40gm803kMp5luKFkX/0NSVW6xJLa76IgnJvzp/h2qe6SLiq9lbVf1wuqw9lkUHHoC -YoNkgZDaviWkeTxf8MGF/WnWEGlz8wqQlPG9eHYkOhuYvxydVVyj8SweJHBg+hlbkeVTtfyqaSk3 -dnpw8g6WAmCIBc/G3XVJf8+JH4vYDPFNhiVEONZhQ1FvLbkTFGIB5X1QMbeRr6OTY7Y2D3aBfZe/ -R3l2Rp5G7jjlg0hGeuGcrBqVTCLX7EUWt1KjwGjQmccIX9+T5VLFRBvatdRNJzX+CdPohTKtzNGP -J2Ol2K2OebrxQSYbzBTHDVXJHRfZCUzkDcKwxM0/nJ0bsFV0juiGxNo2YDEFqZXuNqq8F3z/2BvO -xvN1tS9mq7VlM4UhLrmvkTBDcHAgizyBW7nz5iI2y03+prBB7Zyslopw6HEHMeEZkYv9dbuJgQoK -Mz+p2Kjt4aRteWtcrH79ffEGIywgfmpyt8j++Po5R4ynQJwpNn93XK8B05d3Pp/av5El6jIrfWzn -zban9RXPixR8Auv0eNe03+mJM3eaHAsFYwUMvO3EXxu1Te10WK4A9vkch76rYKNPSyPPoQyrQm56 -PiOgj6msHzOUCcr+MvLr40XpjARN9KsHuE1U5rzueoNrvrJpJ19PSFKONllivfgheiXtaPFCiaoU -B76W/P9T+wgLLqAjj9xpm8TRtKnC5s5PNNq8MU6wWa3w8OTI2sI8y1e/WvyXscrR77lT6uJSfutX -LPjrK7nuCpjSLmbPTq4Jl9L8/WVb+YB1VSNYMO28o2Qva8DEHx/rp5GmxQDZZmj8SoCZk2px/EKg -QUAJx9SqHHYiR3tFFNwq5oGgg8GQuG3L2KrGyTjvZaDYzUwksWAfeRPmPldpP9uiHT6CAFJkkhQQ -wDewj4OajW5tMeRV33nLwHi7Vlle8ytZ4IIFHV/+8LoNU0dcPbAHgjcgyiAjsuUgaRV0N3mxBgzv -5QE5MrzofewHHwCF2gF8Hio+wHn+Pq+J8JjpOOPzgumZfbcfSVmxdIMRLbaraEZpLd629ieBg0Qr -cDbJ+FoVW7OQT5GROkGO96oZb42JulcPd6LtftIglk8X8hTfkh9WfFjc00/nIKZbhifrqr+uGV0K -qrFZYfLkgyFMDbDpOgsnKk3Qp42ctFn1xDcFJnM/0+hMrH0by605/gZx42zMheqnFtMaHANhJcgD -mVEGut1jNWOODQYe2TkTLrVITUFKtleiYbKaqcQNlwjMOOOv6uzxEBkfLpq9zQSVvxtcWurKEI/Q -Q2zChMKp8SSx87GU9SQyB09OfDiOyliGW+yRYXYBn5/YI037EVL+rlS/jjURhQKhU2ysbEgBPaGi -OojXYaih0Fb8nHkwUNWaJMoOD923YoulkhNGMjmxZXHZB06B9XNXWVf+p0pbd/QkfQ3teUi1v3nr -2z78iUq/vW5PIKD9vY5hh5BZPQiSJUXI3uYpaha0bq2QBRwpMjViA23PvrYmi908P9uTUA5GLyXu -eN/7h32f0KJsMq+wb7OFSEk3snQblNylkGTUAvfBRUmbO4larQ4cfrZBECnlLFOBl7/MhJta7cPx -Numssl3kTQ0/HXR3bEzeXy8Sot/DCxuSt72XkC0ProB36wzHzL1aqePH/A1jOk/EjSGkhQs3IBCE -jRmqKYN5c38Bxtdvlyy79jm21RJxOL1xSRpUDkNRbEtNxGp7al63w4/mjbhCMbLvF4z3wbB8I77U -1AXiqyyMrUnafrOw6Tr65k59RzfdOwbLAU90GEU+s5q1kd+sUjgXtcZ1izsilJQ2mnuiTRACvfnt -aRh6u1haSD8qd+zuqZS+xj10MAZI7W+lzhgg5l/hgMGt/tNj4tteXCSPbdOO1bqWFJrWiBtP3LUd -v4Wctj4xezwGWQTTKFMQ40Jsl8eP9+7Irk7Th0J7KYSIrvS190Ng/Y6I4YkEHweXoGYDw8WFbjg+ -EWIVRclW1AFoLEfFEuowcgIWnqJMy8WqZvrBHIOjnJErD5bSeZ7i5IteLZ8GLckgJuIVvnfFwM1i -H9dLNPHXl+v6w+JG2mCY6fOSVo0fOhmjLHPxc7MV6lidUY13k157VRtKLT79iKn9wph11Xvq85DO -Eln2dacs9/j5aD1ZQvQDLEURkd5KDpa8z9wn0XWgnrZBGVoE/YDH6kGgERgwDuMpdr7DzpXopmuA -twtMT0ICYMFNKnM6jzfh5NUqAU8QbY3vMrFWOuVtaa+QTDMSbp+tC7+MuoG+wz4hLg3cwJe10mTB -Xl3OamMS2Y2OI/r++bt4RKQe9krjtELhCSehUoibQm5d2c3pNIPeRVFgXkAaiN6BcLPLJMLC/3BR -oSOZXudJhLLNcja8eYRm6fjuO0LCkbnsmskKv8dNvGpGl+CfQ1CPvBJdSrdDSweYQaPhyg/IskGf -tpFd2bin6MTz0WSLR8JLVOgJn76cpl39nZtW9RLfGLjTtyh/wepu63/+5ELf4JAI6rof53ehhng2 -KMMw2QItwNRsdRiOSZ1xI9Cy9MUuvUpa8bn/iS87EZe+op7C0WyAmICReEuwzchDZmVDyOpDasEL -Mf98i2ILI8/CwCIFX/lU92WiBXEtbK12adzz3Xlrd85tXeahgSKnCXp4CUc3tpXO9bd/UjRc1Wic -tnbc5nIlrbT/VRERqJ3iFy4nrWJVqCj6bzTLah9f8pZAkdk6iZa3pC7yzLdNaYX+8qqFMYmcL+x9 -fSWjAkXkF3LnHeSGwN+l3Y0rnDFRpRUypjJcZfMoMaKkfVBeK1cCm3yUP2ynSasqjFf1oAWCPNkG -qUjubGdH7bojgVzVfLgwKfHScJjPoL6J/ScvYHFiNYh1Csjb1P2QKylfOZzA/jveIQrBfvhrQRcN -aRHzy9sDSYWxlH8SF4Y1ZcG7owoRsz8BDnSjyxtRzdVBG4pdbKZf9SvdVtWTseoWPKzlHJB6zqMm -j6GyrQVzr5K2Ju/wlE0ZTCDGl8l0ShHn9h7CBCHdrbxqH1JIcltxt8gd/KC1WtuJaqyYIaqc3vrc -tNv6ttjYv71WVtv1rkFdms8a2TQHK2dZ5WWd9NYIyNSAf+Tzi4Rm1wc/XhWYM4g7ddfKUQD+rBFa -ZlxvXem6Wj80V722Pnh/P2sty96s48Dn37A4K1wqGBTvMsqQ/TYsUNx/QbsHkI4BmjSQTtuPSd+P -AmD2aE4BjqO3dUB1tZa4qAa8k2NJY89WgbgEEu1Rd+qhg+NdljS7WE9cQWZxOHFW7rg0HHEivltY -NdU56xIFshoIK45btfQIlVM8CoNETEAMSGLIygkt31w8kq+Ga3JxpMxOl8a/O5NroQH9yCnFRuSN -s97sZVrvw+5UD0xUcgqrp55Rc2xPWNRH/a9rxnhpACp6U9MQMs9mqxiKy2BPMRw3J8Fnj6t//Yus -kPt5oJaHSzn2Tz4Pq+x4+WRwmtZR03xtyfdPPxjfSAzhvgW610Ylfu8tLzwvX0ngXpZIlAvHcFYK -wGAZPL0fwCN4ngw0xUocklG0iC9vfhAVbDV7jThj6ggbjc5XRSYDQY0NVxruQtw0/YIK2O0OYrCy -RaQdYR0YJSPkU2q+pZgWTxxFCSHI0FW+ucaf+ix3Vvf5IyFWR5Q8TXGOa1SfH2Y7WIKOqUgas8M/ -xaZ/MHmnPxyURBdcNvKfBfYTLQ/7blhIEI2JcAV7VxHvqlpcKR6emwj+owHB9PSALVF0lyX3ZDhq -+68cHuZQbDzEVWDJeA9VMuiKmpsr/Q4QwB0YsMVvE4jeXyBjz/7vRlibb3SrrftL39OE/DR1NFzS -gNikqvTMqcA9gIcbYgLkKXomS7U5rWviFuv4K4pUuC5rO3j8qqNMpq4+vDYxgEIxEsaiN1bDK+Xi -SBDDXUAytVv/VGBA5lhfY3bro3XQE3IsWSkSx1UPpFwgMXPc9pocWIHj/F11ECQP/e73xKuHF67f -8LaulBf2AMHc5JeRBqqVqB0OdzaLM/yZgsUqgkWgaMduYWekwn0M3dmq7bc8HI/EiPIpC8F7NkF4 -JCQtGCXqawdz2sSPo1aVrQmTIngre/ODRJtk/PXRiyPYH7a2hajSAQYN5xjcms5nzO7lVO5BTGRV -KX0Gd2f98LaXGZ8uRESo3jztVp5g8PD2sigCu/h6BMMH41eGYjOkuSHEN/3uWpGt6JnDD2qJGqGc -cZj2nVgp7esbv7Kp7A8vaY1jK4YHTjph1zJcyYAdvOa7cUxtOtiOI88MP6H8kNNZPVSC9aFSaHiw -kKG5W5nDAw0/Ua6S4isCrN5x7Q0zX+DPsSfnaae91TIdJRcsRglm6la2UveZvPqiWnJYALNywBou -WQeckoUICi7sTzqULwIN7L9wljg7SZyGlsDATle7xcQwf07jk39VD4gtIVrs7NwytIufNeuiA0/K -sKwNcLNLMFOwi1b2cHfxAkGVjVcLIhKY9jfTgXAPa+3VBfkvpiPSAA1de/S3GRRTx2wwmU6c6Uli -akGNkm6hgtKapejGTfkaY3aLDo26s0PdIJHedYBkKe4dEjlMe4xYZOJ0lSVFJiqJoeMOq92yTALc -7M1lPUeitAY3SsGF0UhF198HZJB6bJQ0cOaGOQQBoMI2I8MTpTsjenrNSnTOlxxXHD51DQopCzfS -EHsP84fo81nBjV12MTcOF3UybzN2JHjRaQWky+D+NUWGxsmV4iai8o87PrTaO2K11gaUmB8LjV3q -VksCzYViSq2L+PymLUU8H1IfCICrFM3SoTTzoPyhyvFQ5jomehJQRWUG8DTHy63cfnaA6x9iVMm3 -67zGxzsH2hF437tnO1pIz+loNWlhhVbPpHXhScvaUjY0V2YV4SROtTiPLtQxxDyJ8V1sPgpWXe/B -ojGBRAyDTSRHBc9XEsqZIGtYQDHKgvNiI7RhKwOjjshR5c3gmL8TCACp3KBi/mUzG7Ctm+In0RXV -gzZgjqfKNFLNrHLAEiIGAb2qFQYnGJl0Q9Kv8L/J2327n9M7mZIKCLKRzSKxWs4By0Zs2ig8gLed -Z1Jtj/eIgCSxkTInbeEJxbCtrvoVyesus77ezJQCnTr12khWM/DZZvei5Kh3Xd30Szh6M9XewfFM -sP66EKapb6ht4eahxuBZqNhthf/9hsbW/Ov79tbg6fg4c2wqbSBH8wBv4iMlnHlbv5oJdLyc1IXA -RPGG/0xPSWO2oyykEiya33OFIIQ15rsCboUQYl3iNBWJaj7q/gPdqR1q6rZp9lYtvMuRFZYdJcAj -kCiivfWKV15b8DqfFAPtrP4FaimpOwPOPCyVEpZgpe0eTuwff8loLyGTre8EY8UkBYEBVA8vUhPw -ZKgjGOQcvfhMzd8jXyTE3OzJ0jX6jIL62Xde8DZ0EYOBEX7jZv68HrM8YZfMBaMcc2IGG+tdCAqX -Aj8GIdzEJ7eKB2tgVB0HlpGcr9EEYx/m9FB192UDVFJtWl2etdKRhpyZPuSGoQ4Y6+bc8sIRMNkS -vmnc9a7md9ARVVXtIQHgj5vMd7GrFW4IlfUhRzHrM5/JlwANPMVBqWRCMV19YMfTH2PGkBQpHzjV -qAXpLVBSaLCaLawrFCxuTgciq2QrY66L1FwWV1aNOjPYTswnLdVJJVK8ZB/t9TABrW1JA8Z42lmW -kTCPexifQQH90qJTUZzvHjKMxRkflAYkVIwAEsQZdDHjVGE2j2PSf7hrsxWGdX9HJvyh31DJH8iz -FjQK4hbIy6V+IgOc6wvKEclnuouU5sVAG0Qzq8dyce6RSQgqmlYyp/1GMnpFP+Yl1O7Fcx5137BB -wET4aux0c+5550P5sXajERafvqrtkdGT+uYF8ab1jT4T+i+JHmLtDIfP041lphHXhFA0N0XuNDlC -H7ZgLLdZJjDrFG4ku8l2yDrIBWRprGrN4CbmRkLb9QWDSSXq1H+Pz7tBsxfzZdwp7GS92snghfcp -4VaRaQCic0wfXltxqhKnhrTXPCV8KKuWCWhjJXvq315Rc08MtqlxMGzFEWTN0v910E2vtMs1C3Jq -f9suS8GsODQvIItuKcoaOl01S0fyHYxbYr5HjMsm7qDj+kYCGsql6ffW9/V5GwV7TD2YHVd9yKOM -DzspyhrkB/g3Qlbh4qWeVc4xf4wsWShmMtZvHRTlqpwX0FgbLW9gBrs7d3H1Ok9LTHQiZ6MlA1eC -skbKDYPC5DwrwPj909St/agLcSKMRF3SIxiONYy332d9AeYqXiUCWtbH0Yoidskkiuj2zd3xWoRJ -N14coUfcTtJHK6FYwDsnKoMEMaRj7+WHlP/cJMyE6BBNRR2t16FDxcj1j7v32z0rqQMUZFlSn3qP -E6mCMkmBeR9/dh1tv8OpvFtp17mGO1Z5llc6V5S5q6xfaiouuIQ2RKTzAHojPx7UrxwSgH0v6VGm -jez3A8Xi12BBw0wUc8lUV9jjMou5mkcgq19iwbfyXILxKZ5jSna6ODWHEOwPQrYJh07JQyXHinjV -MdDkpjVXM6a73a4ItAi12OKMC7lM+ZMZjSjRsFPSIhxBHugC+LXL45mUtsDx9h3HlmzponblUb5E -Y/t4+vV53P2hLH2Kc/NdUWSJEYd9DIAVjsOeyN5NT8xSjMW5y2+Xz5Gxpthv7MT5ucrcUnH9gxkK -qJ0QpBlkoGmwfGeioEzCjye3c1LoyQvR/Sb06pdGHujxzzTCH/Y/eB/5c3nk3jejEN3Se/uIqKq4 -9EwMBJh6k3vHqhM/mv9tJ1LARhU66CeCKZhEwzNzQ8U2u8e/brkLIPDjlOo0Nyrv4zsR08D6a19E -DayxnI6qWMOndZSBK2cQXTuQ7zfdNCIpXA/pxE81Z5yNKdcwz2HditS0NyaUi9p3vJcRNDBgmt0C -yHVJoRrCtlOfetOr8VnspXcPZDm2TMoPn3gN6nqzTG+X55zNbU+EEo5rJKMwi53P9awQRM+71AD2 -bzZVmC4H6jEI/vVmzG5yv93pfFtofvf/x4c3eDwXbUQf5vuQrtxBmfWl05ulGh/AIrsgNYuD5xPZ -wXsMlHYmoIyUE+SyoonNU1gKvoBuX+18MYeqYEGxVNrWMEQOgQBsuMvhAZ0pyqrIU53suZ+Rb63S -b6eXwdkJ7iLoxv7vbVOGkI3538zRS18OmajE9Kt4W8EGXjKITK8urdWmScaTLgj8WE0N6vT8l4nO -XW19d2c8rLq6IM579AFkZ3kpXv53USomjTL6FAIV03/Co6mPBupUL6pM9ErW4UTmMeWlBZXuj5p9 -HjyyDbC3th7lY5EN/0dp9945wXbIRRY9NYagEwySKZhWfRJA1IBxK0fL+KMJyfPbsURYPgbZFLHD -O54+fsSdxT3thE1YQdVNTVqPA8B+UISdZlCrbfTCxDzZDS+mvbQ37y8JfLulJkVh5h3KiAW5x63J -rbHNqw7lL/Lo9r70cVsDrZthO9zCdqhGML1Ys5hhGHEi3mf3oyYcI+rgjP3tjg2J1EZFRY9Vze8O -+D3Y2Lj5VARqzY/OPp9D5q/nwr0GJmGyzR4J04vIEbdROGnu5bim2DYTcLac8dq4HEKvNSW/UK/3 -wGwJWzPwZAevf7CIgzb3UQF56USCegDdEkw4P4JFq7kKzSRMxh9/hkme8uyr9vtLJN6TAhqQD14b -t6i+If3XksRg4WPhP80VffYgxTB/3qTwkrnifGLnttoEGjPll0b4YvhAytY5nS5g1c/SvQrQidOa -vwiIBGv5MjBNQDTgmTin+zNZb651sgw4fktkRhp6iLx3sxaVA9l0xQQyWQ1+YtyC6PtLabda0F54 -Buiv9S0HKco8irkIgVhaRCJEjt5xD/wrmZ5DUQxVTOZzXf3jEpUKl4CYLgLs7nbiL/xT0NRklWMX -AFYaHjHvztIjyb8KCKPAmCKT/umgxm3oK4kjqIXfXsH1Ge5eFw2cApe8XSyYX2+t1c7MEy6Ftsi8 -Ck6e0eojyzqHyIMhLweDvK4dQ5r1ca9uX7iKkXBVao7kdc6Ia0KgGpljw8Bts4E+09/8EaX0gL7s -hJ8dTjLB1T7JT6Xuse3QJUYHXCBTe+Em2IiC5Qfii7TVWBPXx47yTXB9e1FYelQFCVxRac1SdHRm -S8s9Ubk1HqAQfMrTxUaZbf74ycV7/23E/c5I2KEv7pA4FHOZq1yiWnUfcj6tX6zXQg7WJKS0qMQN -wfDnRZsXH7WFHgLYGg7KQA9PmCPqosjJB1AyhqAmMFoGvllupHLI5KTGh2SPAf1Ok/V8c3i14iGG -7ME8Z1wq8qJGHcWsNUHlhRtPd8t1yxl3f7FDVlvqyGPNDPFbqll4yuGXXt9dOsyygMv41YVYCL6c -I5KLr9p70uLAQ1CLC4El+eJ7KLV/duGdVoUDKl7CPAanEFCnE6ER8CZ3hc0Sa8+44tAD+MqjEWB3 -E65mLVfIp+8uLjfHIsHi/07nneUcw+CJvAvRwNu/Ebs0n/v/Oap7K/qhu+eTzSyNk8etq7QP2R64 -5nF1ZILKAgbc+1T/01laZbX9JdLchrBHVBJmwmgg/MgX6WbCsGCdkpNx9S5FPKld/7yMJ6U5Sa54 -wmNSZI2JmFKoIGDtQYm3mculmo96pdFOrOvYTABaT3Czb0duMs3I9UBCMSeThiTKn51EIsaDNqsR -Tbb8atAgQ8SppZ4T3kyY9IXkhXoFpZoj1QbEI9Hc4yGZNcEy9FcbvVLJ+s6ODYEcsg1jqNCZVCGj -ZNnFsyfGwg7PGoNBig7tMO/AcGNWxt8ROIcIZ3AhEW3FNGdotBsy+74HfUxuaQZNI0P7OfHYkDYa -pJPlanbObWBx3Lzy83peRpy0iNTDrveZKcwb/8BLHGsRVTqcaIcuL58HydigQaxDZV2ko7H5WRPI -2scRR2Ngc937AVel1uDBhgwIItfZXB3PooSS0nRK2aISLgpsrXtadkTf9qYtsAAXh9LJZR2BQkTr -cAw3T55+lmjUpZiexPPHu3ob5iL/hLGEMzPMhvdgaSOnvQbJ9Fa1JAxkjCI9rljHrGPKzTKxDocI -2TXQi6KFVv8buyN2IoOlR/apqH2tLeQDldPD+KejPoZGA94SPspg/tjMBUQwl2BbAb+lDQSDFcwL -jZD2odSevf+THWuFBm2bqk7N6CLhehjOdU2f98DNlEpeOPhmGGYrYw5iCri11hmVgbQQwKYITHfv -2MLDtLPMhArxhxgUPHLYbmc46z8VgJbA1fLZ9PojTRGuZz76vdoVeoGwY2FIQUIA2qToISk24RZc -bF1LtNzGXuJ0ZECdWYxQtAkm5OXCLIcZNTPL2hWyyiQATVkFsUNMQBIaAVySoltLo6Lu5CZwvRSe -RUff5kitjEM/OG4OF94e07QjLGGCfN/IIOT+u3Yh4l8fgxXQZNbUI6p/u2SYjFAOdle8nW4L7tB2 -XBS9ryaw9zqLgXdnof8xnHv0sNrdefacT+anJeJqAjtBtgq5hiPwXPcXLTYVTwueLY1C6eyIPZDj -wGbuVNXh0/dCZyDdRy2LCKgZF0c6fztrxL2XwVzw66TWQWxUXZFGHB5cHr44w2unINdBHTGtesKH -jpjhX7UbpNnxYOH7bQrCdqx4M+Kh6oUh0EdXXgmuI+igtlRNFWzsM9ddV1m1uJG1CGqFZ4mBTIrj -AwvH6XhsbtkgRTkjU+KI9Be1kcC7SVR2Y1zjb9X6BCiu/QR5xSa2Ln0a011z9JUEAQBlHaOpl45U -R96MEnF4yzg/5jPPIRcKwvaXvQ4uIgICELaLiCsbFqDEvM0xPCf1k9IFum3OhDd3HS2bA/6H/3LN -45H0l0fZP4R15SNTuQ9g64im/iWe6zkf7UJYeTDn2HrI9AoclI6m2ZKA6ohiTpGO5SSIKguV9l9v -MdK1O9jeQM3T4aH6QLoEJIs4RNRwSskfOV67NC+SvmZncmiCDhEn2Sg4e2ZOdPRHXXiB+d5DjywM -aEQpu4NcHBUS4FvrSkmBGwVDHUM6Re1qCbxclVhIfoN5kovzEGHTxrt2Rogyu7UqP+W5afoLBIHf -1BlRm5+ZSs5Aqvwdq46e3qWd5ojwLzI9ncU35av5zCgiqlDhkhGwKKEITN6j1gudY3ZDXxbTn/FB -3+xPlNMSh0uod3V3MeoamJSOnV5lExqpdJAJZgyNVYN9aTyrPuJ5a56e64SAmZbl/NYO44dvZ2sQ -vGsCL8ho1Dsw1x+RJxqUwlrJLnOeFj3pA+T5355CXZT2B2nQc1aif7WHfJvkDtmryscrxgyBzijL -BIzZzDCSVj0xx1CaghXke3WdAugUSxgkPsIpP1Bqwicge0Ul2hREZVO6r39HiK6byAw+VpIFzInH -f8cDbSAtQ3P6VZOd0cfmsEZDwuUVJlSA0xvHiHeAb4N6Jl60ZMb50EL7OKkphsDgQ1CU5JQaogEQ -hjzJxk9szM4hyllwv0TBB4zfck+FfQCpSF3WHMQfdqs48lqa+/gywSdkXnvms5dpOeB9RYU7H+i+ -2nIMN5/VwkANRXuoerFnv91/w4jZWMi0h480WImT2X8r0Oz8vCNB8r1M2j5PRfXB79VnbhWMaSGh -536g+TXy5FespaTVLG0ts12JepaFUX54Y8AkEqX+9KmPkNlpsUQQFRLb90xf4sxsZa0Ni4uG88wQ -BfoRYBeDBUXeG6iZuatgKrhX9fkqwI9EP8SYW1MCRNHAnDExjreocrGgRSFxxs6WcyY4bPiq0Ula -Egi6WB1k8fIj/L/Pw54LhNDSALhK4u3jtEchBty0vLaUnnKogZcLVv4Cks3Ok0WxXUW6r2j7YGJ/ -YYjPmVSX1K3RsLicflgDU3tNzi7j6UdwuzSdmKuWXLrUNzQ5OHcWv7SwdZBQuVEj6/pZvhzFEYFW -x1myEbZQBPg6e28a8Q2m3fsRA3uJH01sskBp6GIm8akguwJWVxBtPHEkAnhWDSRyfYsdW/WVzK4V -MEDFXBZrM0Ulgv4W3xQs15zxMSoeuJoBb/wdLn2ekP8LCDs3pdACwNLikxUwMn7gwurXCCcAsL6x -t7C28LHu14ZvJW+yZOm5P2hNwMd8iF/9VNYid3mmQOEJzROJSPYOsttqxcJyWfDHMMMFiYXc1fgd -bPr8c1Jl1nYZ1XP057qA6JXEIsBYx/vi7bocL0WAioAbwISPdiVzRrhJPDDQUSPgfia6+L5+jybb -0O9HAMrLOtMfONGXLgjI8rxyP536hdc4AQDhQv2ljbWblggjL1TDnD3VQietcNFswdgVGgMMiTQq -o+2GWuHjVA/jTOe+axrmZpCfmieCHvwKbOEE9wOwmxL8yN2oCM9JaABgQjFf/bSkJJhgXeWcDodl -6tWx/LOK1fEgWG84IRZsrkoRFlY1rS7DEkbd8ooYfHhe8nqR3NgMoiei6FpieRtxS0Dzooy/vJ/d -OoeT+QNBOgYSxqXF484maCxnSR6SABcyoUt8lQtP5bz0D+2+UoECFMsGq3oOSEkhjbcEN3S1UbHQ -3sAqsf+6MV9HpoWlp8idvFxqV3VNL9d9Bcr1AgV/2dzk4N1+n1p+yBOm+WhThn6eaWPQa8p8kPJF -0Jwgkv1X1CWfetizfQZDB2bTvRYZ9lcaifhRVedugb44IKZBoAIs5/bxuekc3OfIAOQFbgj23VIU -kViGXA1bHaIDI/wQzJWhbyfZAbh5a0QlnBnPbIIruoJBDTrfOcOEJHtzLyatJmSNcEF7RIVp6OTP -oe3Gf2qX6XYL685SbYbjW5HWQF+sP4aqDEEGc3ZtWWsKNQGH69BQIF7fSdnXY7Nz3h5CXdDSPF3K -8VHcANZqRaXIw0IZVmcwpTTN1Pb9hgRoEpOC1C5rpYfGuAbUL//yQSIjmrLzQHepGbYQhMcphyN+ -pVpSmSudNYpD+ZAORlQCk4K35JOrNTbOZZOmtniBBFis+QkoHixnd2b5p8Pxh7YEMlU58CBT0LJp -oI4XK9H8ZuCgKKr0iT4WngsWuhUZLQCrG4FG1t7B1q5G9rJkuIe0Fwg0jea94/PzZS4OosL1gVDT -WU5+uobHUVdjtJm0KT2p2fwh7lQG9THnhCtmPAFlChdmMOwVYP2T2EZ/skHwKJbFlX2RG5jIPxVC -8J44eB/07y0NO1a1LiMG1OVKL/NByhtws6LT9zjmOz/EHH+hczxvjTdKjbPaWPXMwlshS7vc3wZJ -Cshcs6PL/dEhEDIvDWz7uN1+hp7sF610Toq4repQ83TdzaW8blu4bozhu1lNb6aKvzsIu+R8Vnhf -C29pcJ/+DUxKayfhVQn+dzSuEjJ5f4JAtcxIXkZ84mvPD3Y+kOaR22T3GceN2Sfwwj36UCqFuAF9 -bSrrsHL2+/nSp/jQ+FXzEmKs3pBDPYlCXBSGvZoA9NJtGvNfPf7F0gofy+W5upIIE4m7PEd+GMnO -LZA+YsBKmlTvK6DSYwsNLFukGNEE0+M1N9R+1U2b6+W2v8CVpjsi2iOxh5par3dUMeJYHA52rpbF -IkYkVCelESwvIN5C2gR0l6W9PBpnS2XijJ1AK+v21q+603qqJU+pyv/7n8tgRAPwXwQ2skMQQt4p -5YFeY+3v6ICK03aJ2Jr2qGR6WLB6ufCs0dDlAf8Q4bhEsX380UbqQRm4sn5Wo8746qQZL+3UUmUT -pXpdz49XGGIITz8fa+DcmnT9B2aCMdYKwJ5Y9dK0rUbHeFn7Cc7O6MU5ZhXxgYMycgP1MFss8qWy -TkhWy4qICFdaV4HNAo4CzYYNXOlrM1UYn/QC16ulqgqHGdQiawQjdNxVM/qYsU8z0VDTfroM3um/ -nq6s/T/hywgd9+zCTCOrvUp+IQFXjM4HVe0Ov75nG8nTMQpP8x6NAs3QrkYPXI5EZhyUjxGEXhLL -OSKLjNlRSjVqhz0sZLjdevUAe/ea5pmuFwQhXPIrskgWqWAHw/2VI130Zy/CL7r8o3dK1QYiw+5W -zETbPMCXkFFilmCPMEgsLaYtE+G8smclqHGOI0VNhASHN+RsIKvgoUfIIC8cUdwOfCZs3m9k+boB -sdfKiyRCWIfT1hI+ixbIV6/gTCMY+RL8Sy+TjbzdQZr5cgD3HaWD4Db9sgBrAlVLBGnMLdfAyNiM -wBrRWbis1Zzod9P/w0vSmDdLA9CQofPx3ankjXk4BNsD59D9oamkIf3H+kVl5LJo0+azvIpaPXxA -NNNXUeIEjWn5OwJXh5Ba0fCpgfDrfJWDgblQs3tDi8bq7zxXuHel1+K+kZVry5XSvsBQh0isDhH0 -osTUUyejKIZVpF/p7a3kxsU7UMG/QHz3HoGmkwpHcrAcAJDu3tqkP6WMbidTcUew4kxHjHzRL6EY -0qD66Ao/s5V9zREag/I/pBp/gLg/SSgiAfenn8S2Y2+eRp21P+/nzY/tfr3RsoqZWhhCbMb+hdBk -V2mGziTwLJkNyOaL3IJ0cuhcf4Dj3f6f57QLAGxXvRjn4FlB8OfFT/ech8yAH7YFjX750XtyKVio -MJ5A86GeqAspug2D5nZDE3FBfq2Bl6LiI1QWPz9U0d2T0ZdA3JaAUipH0up8+IitowivyTCYEjY6 -cr8KPF6ygtLPD0/CCUwTZ0rFtDcw3bzEKXMPgo3Oz1uFigMD47/wbtfFGZ2CujuodFeYvG5AwyrH -6pIHVPVriK39MA/PACU/N2pOfZwSGxJjXvGrzyknuy7Q+mI/aoWopKUlYw5P9vrznB0yNhQ2EmJh -YNaWg6wkq0BZs9vIdzNof1jOVBjh9/4qmFI41WiDt6VBAxlQtXESSWciL83+2m/21wVKdYnDjgig -tQeKrh8WDWryLKbqfsYIUG75C/PZbg7729s3YbB+Hbp3PxbHjVV9uV/vwObIxvLJMVgyVjxml1Hy -ClCAGzJX7czVvePsndnzhihU8mJuoL3ktEqwOL2LnoPc97+0y3LbIZmSw3dqvmHSHK7VsaOk6wyk -Qhus+ZbZO17CsMDXvsheM+YpAGmH/7eqkfJDdT20AIqu5vaKi0cQzlkjFpPD3bIfIhBjhb4TF8RM -ch20YuisF0Msx/llsN1Xl7R06RxOrdjszMh0p6dPTBIRCJaUWewS6jHcyfktnfGrdxMiAiwpIYOs -7S5mewyB+GJasVh+a5QupZugf9zLfoEOimBg9+5KYxP/qumKBspIIWYBJkDNr/bJoqyzvaM8gYc9 -SK/0iKhSTGQY7kMdOZiwMV5zujcUBcbXnxGQwUlEiQ4PcN/+YVm57/NaNBa26tPN5YJ65A/2Yi+y -TWMuZBRsn/bcPmsj+A6WAUYgp+ysXCpxQh7yp8RCObByXPa9KLZJqz3sXC4AWcK389xPRRnzSuRB -I39dt9/0B1+diS0qpqIBP592U8Yf8c87RdM8ACEcd+fqSTQfgCYwtobfHv172jonxrSkTIGM0I6R -0DD05Ax9PR4vpRNH25/57Q6jaQho6r8pMmyU/6eMRJmw5EpkYJZLFtUCUdzDjDdS7ATdQw9SLkDM -GmETUdCWaJ9bs3EihDYaYJ+D6vlRgtSPXpdihGv0daUK9WLV3fyucYprWJk30t4TG+l+kzDsheJA -eAEzNIqageSOBd1C4XxDx+32i5N1XS/8vqgQmeUsDOovUn+kir1BOOVaBUmB1t4veZNXlL/OlihB -geyTqJSj0TTejBORElFWKeieUVtwSfojwSYNh5Ed2auDnZeYdXbVRAdh6fJNe74RKFEbNCZyrqcJ -1BD5VhXp01HxDtSQasjPv4AXWvOv+vB50O0xCig0ltOiGLjQpSkvf4R0Y7t73EaJS9KciW4ig0SZ -krEyz6J796Aa3B1a44jvogufK3wWPa+STZCcDdCEYB4exS/fiBqVSeRJxlnywV9qulxAwmYdAbVk -m3enQb1ycgwm9lVHClCjoT+dOu5pk50BwEdwubq1YAMx2Bf06O0gr5Xm+wD6gOVPGk+N6mePIhWF -WuwN7A63D1lhYniWNnJeJYDUa0iL6H/QdPWeEPRpt70XiTRZKip9boQlz8LS44KLxPs8rI58yCdM -OupB8vywkinZCKWj0WpqcT6g/E96THXmJHQEnC7ZRxqJbP8R8PQHabVKMUSwnfG5ZJYsQZA28t24 -oVIfy8Iiwg013pGIzVO3SpUXmELAthvbI02SPFkkj5I1/CF37dWdhL7K2WinZJyxjcFVZ6lb3wlg -hDD09/DBaMMHhvh+L107xxbc2I/DbHU4lPikgZxBIYVL4hBR/iGXR5mZXGgDm9hSn+RDC/i5grZf -qY9ojj3xc0xtVQJqn6kNkh34i5FampAolFhFVzfGeo/L3uT1/YOuksjFV8dYY05GDuBybiTEn/V+ -f+qkWNuqrqKy6MiiJz3lh1KGs80lHtA5Vk8PJH/edgFM88V8f5D3IH5/KmwUg3YdRtQ+Wste84Yy -Cpmnfe7PcUr+hpxGhgAdDMSRyDTSFlMOoGX3tjbd/Oiw5i4qmw/I8ehu8SSkagxmEFXzdI7TtTxp -fgf9FCfxa2lzuHhaA+07jXQDstAjWuPyDV0OU/zNSLBUCZIcsGY/IClZEA4YtZT4+tXFEq2rU/AD -1pFsc9mJX0JH5FIQEeNHi4aSl+aB47IOrH2v9N1ZwsbN0aLZjuSzUqJ3S+RNqN0ptNHcECUaY+Zq -utQhJ0ke1C3mEJY4bhCAawqc8Z7Ix8okekXgWLT20Z+I0b7+zIg42/GQzu7BIKmbbUvfVL90QQAf -GMql8JzN3FvC3i94IBsqCa+CNwXWWFuGcszpVJ+28VCLwpnmPl7/Wk56zefkCwcghtCg64nuJbI0 -QwtpoRiOSpYnzHzqrYw/9k/Fui40xja6PzajbPRsvxV/dhPAxjUHXTFySKj9IHeuJBcrfoUEtMVn -cJYyYii+bhH9EXPQ0u6gUpH3+6U/kYIFCNH/R3Q0lQ2+46rbZ17VdjOz9ZEl/H7HoVhmbQOHkING -1N/HLA+F7ds1kTmNPoIl4HTt3Whzx9VcPTwoUC+3eUrm4iIb5RtTnv0PxeAnximKHiySuyd3p09J -r5CxG2pqse5/i8OtWHXjSksPgaLiYsESJ1okPz+HF1/rH7nClFkP4kLYJzJpVNyHOD6P5MR5VXE8 -EUtvGR5lX5yxzA6lFOAWUF1qBRc/BP7ey/1ScEBMVqqFF6SUMzGlmN3ANFgo9rTW3Y0djaFiRgcB -bNr7wgOjgHeCLtXK6yGs253z1RJ8jKHVLAQm9Q49pKcGqu4EDO0iRzYUEtWdNz0QumFoBd/bt6JZ -U/xIc3luNG17RuFjYMIPMadOQ5+hDQJB4HosDiVHPYPsUEgOd+p/+pdfoxbhLpi3AuvywR8SnlGi -IW/yz1FbrP2LFIzwCttBKflzcWAm4cnGzqyKxkgY7897fCBLxhzW/MC4chqEIg76aM5PnhGkXrML -69zG0lfiSDMayT4ZrJEbNLoP/PoK+HBenIqdK3JVmkZHplJeK7R4pT6SMnjm9SQj9AkeluwudovO -UP8oJI+7hNZGZ/9lJa0A6uZS55M0oWBR/JjtjyNmX5As2Rvnpre4R7JaBDxqpYVM9t5SKwNP9AOw -7hRNDO+3i0Wjtr3/Xstt4NruqAY5ufUszeZJ6gMZdLfJLVC0aCV7wID8z6me+D9FhfQPkMa47Q5n -M1KBsoKQVl4+5Ph5Z8pQZBP4tXBaBhUjxNP5y9V66S5/bqnIWoydyFmZexQmb/H00h8Pos5CpiZD -Ece7E8ckKTgrENYcCAG07/Nz4BeUTMNikivnOHO9nRdSCa4Fq9bbpUhyNM3pBmEGY1VrRzj38tJS -iNoZRs99pQq4RntUZiF2JmIFIQ5/afIlK4eYqrWAzYzw+kf+yOSBsVNJoKquiAa0frYlw3T4Xqi3 -e39yx/+jVzmY3VT991gnXSYnSfXRBVc6OBcFBMOu5kZE/BsUapDjSiu+60mr0TJ8z6a5mYFvYwFk -c0fSemlxWIizidj2A4OA/XFklGOjV3mPJskxh/cQTtw74OalHq6ZlWW2/7Dz2YxFOwKB7MYmAH9T -iZLpv8dr6NVElzZaKJaDBlmUvSPRUqrqxPJrsqP5eDENeZrGTgtRWtC+GqCVfTKaUpI1P/yx6+Yv -lUyT6EZJ90J9FmsbDx40l5qv5G3TT12+/xOpPlNQ8Sq7a2gIgSAFvnvinLueEv7cyz096q2/z35T -jd5IFL1+cIxZk6XdBjgbjmsUZK0ziSs9kX/hi3oznltCQm25uYlMs4Bg6F6VRm6dDXw0MztFOsqs -1YbuidmCurb+r/c9gJy+VBx4XpkOEtAbz2YTONFlVwfWy/Ii82kQh6SkUmlLCWUXZnd9umRGU5xH -G3oJNfiQfrGQqTjZuI7wtvBdtiCFL2fbtayWl3AapRYRKArh2OSrpKTBOdbqX9/wQN7y3QB9YBii -ivXd+sgsEYDAy081SZk6yxrwsslzeDSQhKgcJpr8a6CTXVe/ZuYoTPiIH8unrya5BCccSxwp7tTo -alopfdydlX19S2Rn9g+CkzvUfjONCv4QRL0FsXF+EFNtRh2A27/ObanPGa3Xi/maO96G+G3LPPAA -OVwjpwitz9OOqwVc/8qc39Wlob5ShRW8pWi0yZINyHpZxSd0Tx19Zlnvnqq9CmBhhHljTWiQuzJ1 -0P3+Wz+CU5K1wJucnU6mgjsG8FwUaZb1HIGEUdFae8WTZJOMv7m1MUvee4iMwv0hRrFIIkTdb9A8 -o9XoXiYOiTafUTWZJhS+soJoCAZO6mzuintg02FWSCV8AclthvSadlRAPCK+u+YAI/6++AaN1SUk -t3nIgJMhdGfsUtBEKAURXp+QlfzAz0sTCn8dvRj+Ht7sti46bcjSVCOCctaiWfUeGjy9g0mhkNOK -0+bTVvGd2NntQhZA5PNoYCzhVFfOrLL/xowb128BOnehWr9kEJ/x1/3R8PURDfHxzfV9qtgfbFZU -WP8JsnRfGdCqtlhnTVyay2Q6d4GR55T/7yk1kixOKblPAW5mjpSuGjPFwJ7yDi9JdJfIyjDOtJaN -1tVKZU5zgMfkAYjGwuOf742KtPVOHKA6w/xv3rIOseHScif38G0paBhy3jmSju8vXi6MLVmzfHg5 -ZgNSeeSO8FHC1yP2Y7TIcbSyClEL1WqoqqyiYC41uFOB9lGD20IvEVFHVHVPgoSNEpKAN0QtKU9Z -11eT0LzQyN9LVFZVHAAD05e2e21w5LzxO709VVsQdglUPEBaC5r+VxSI3NBuGPQSff8bvMTfRHwm -+kmLFtIA/go/WBNAig23mLCun8VmM5OHP3s6vcHuLD4WWZKE+qhY+6cR2Rn5d0OvZ1ifEzP2erCK -Qn+g4K96Q5yEzNzJFcJDmxcjqZWVvlWhF4n8az2qQW8cCWqY3HNPW0vLrkyVsHO6a2Q718mU3s6a -2KGTE9rmNDC2j5z2P3raxQp2K9BZ67GzBV8+NncoCRi3m80op4s5fH+xz/1AUjSizg+tIW5c718M -XRnhJ8c1anAmZOF2LAQWgPROaxgNn+y7ULvtOtcisxFNfk0iAFZxgMZtHY1lv14v0rIpNLf45UfQ -EepT94UYClUN2O05kE+b5A/fcQ45JBtW026w3LmrHq8hL75iDmAJVm2JKNOo+9A8+29JhRwKqgfy -xsbbZwX9dBd0RUasnuCDuc6iu8WZzWdAN8tLdFg2Tls+9OzOtUde+8wZyq05rNMiFU10s75oGo7C -DW4bJX2crliMC8YezKno9OhIiuzFAgoPaEFdG8Eqq0HIUe4KyzJop02SpJ6sXljJnXrbas7OIIp4 -VwRQ+orcRktngiZ45J4kpGYXffF0Wcf2TaHX7hQESe84IpE2k5B46MnY9Af6GzsdBCc/1zdMm2fN -PIc2MRvXeg5GWLpEzxE6Rl3wvUkMmT0Ucolp2sbX41AOn4LBlkXj65oefUBXtZkiC/VQsp2Y5agS -6TELyCcJQawJo7h5w8U8MK4UuKE+kX/93/HMsIGOO22N3LiWAVtindi9G0pFwItA7NnbdmEryYk5 -RANv95AWtY06Rw2d8SO5BaJnPWweGRgWiXX+gEg7pkHMAQIsqRZslwPco6SabyGLlNuTvLC6Zjml -tmhfC0dpB1l0bFXK2VJaTOjaRhdeCxw3nS+pqLxNR0GYz8uTtp0WslMsg0H7jw8HkcXC3VW1ySl8 -pLuHLtmn9VKB05xMNXJLnJvkVQIXK3Cs7gkkNBpb/5hf3IMYI1udCRmsf04di6h8+2OUnpYIPVUf -QUKLSqqUpLrHTN28arPBblyVEEMEcEr88us0cov1ZZrVeMA8IH3GooUNcxa0goQcBx3T2kMATICS -mebpCBtdTI5cyO6ebgwW6AmiyoivPjY9EEMS+c9Xiqmlvrnr9FyKT9czeawDXURq3up/G5p24SoE -N0k7t2LRjZdeaZ7Wem+eA3XRNZIo8wNDQjE2GdANa+5f5HzyKeIOULr9GpHdOWwQP5/ubNGmN4Vo -RsA+iRmltEwrgrRi1lO3Pyr08OzxvbhF+w4UAUDvT8vWCBVHLzx2BEEW31/aypDSrBVSrEf9bTWM -iR4FJVVLj7z37x07I5aoYt5O3yvxWtZWdPewCdB90lG/yn1DvTBQ+6401vQpfrUHsxNU/XOBeivo -TGvEy7o/D7jVrH7/TwyvrFbkxM89C/ki+XQUNGcW7YAx1zgf6LRn59ieHwVZtxUWwIoFM43ln4gp -coHIAb/IVWEayuwE1JIBehb/WT+Hr+fCMeFmCUeZzZrhlMvLBTWvSEAPlAWmjIv2lNPI1uk0qHa2 -2wKfiSBhmpKqFNt5cApSPpypUBuDUD6svwc0GUrQwV7udhf7pguOalw7stDwovGlaEbN8R09nzGx -Ui8dq9ZDH+kFH4rKq8l3aCWz8YVdcaKMjUoJwq8IRfnv1noTmBkA63UFXKe/ocN9Um85gaKnswxh -1mlrF++WH2xtG1WLhK0Wf5IDOAS1Hj79tHT8YbpVFGKmd7oyA0pG5tcb6Xp5T93PHu1VV/iiBVNy -5btPc/Ao/ozjDRfvvqGU5RR2AItTd/xAiW0mzBWlyWqR+xw2vM7CXOazmsrR/l4e2nKVS4kQqwE3 -aRit9R+7jHeEfUYmH19oX+2lea61OUqWu05Os/w6Oqjp//InMcIX3cTZaptxUWzGIDogN0Sm2HXX -uRjSHfwh4yzDq8y9PT9NDss7pjFyFYyfpA1phIavKeAeO5huFqwdCg83V7tNLmqpOsylcdfK3j7N -133CAPxjEggXvgHAzWxoc6UvxOVwFx7az7E1e/eYivwNdIdTngrVP+kv05PBA1U+v29PHhSwReDf -e7xM6K1dsCipXDYexMEdj/cm8B7wqXsKPiHFaorOmcgxZ2rS8LPYg/xbNdrV1wqpZhFi6OwREC5z -n8LXCu2D9PxxHytxWjM255WSslUCv23Fr/lsW0EozvoqeI7dHblsIaQu/lgdWJmwk3dDtwyWIrlR -A/RgyPFcdTGoPDx7NCA5RApxKqbVttNpUj17U1P4k64L/3XSpIu8aa54oKR0lyP2tNntzOXMEIC5 -QBRjGvsL0l8Ygj/7obxSMMrUWoGTgbAnuAG1vgl6kD2MguTjPB2syO168BbQtRWKwZbPthxuiAhq -AKcYgns5WYTtcNobgtDD00wYgDKO3yPZX+Ui0Raefu5TvucfnMFRZa63MJ8Ys9AmYdqdmihKSPl/ -wMILtwfhWO4LsB57NCalfY7jC05eyMjzvG+ZmDq9LbcjLVMMKIhSbaMsulq/PTCYDXuvmkEllmvl -HLXTOQUuhqE966O5YIHjmirMnz/53f8A2sFkQBLIGIswpi5+/ctGFs4jal+2NSXBM+nQ9pSyFq0k -gC1KFnQF86F+Ppf30g3nLs6CnEcK4us+FAl5GqZBY5XwYNwWJKP9r89Z+uaMNUSthfhLNG7llP8S -L0Q9opr/6YyaEQH+b4+JgVc7iTN+/41FJ9kiW+LaLRfydPVle7S0gshvOWHsEjjdMkb44poepxPF -qr81rjOrNcAeDiqCWzo3mG/t/xT/JL8aA3mQlOkiQSY9giBqqXOIo7wgzj15wsRD/L8kltmEN4/g -YjVSbJrC57FDjibk7BJxw+SzsDA/ok6EYYhmHiMAcjY8DhpxoQq068k/1lbEwTt2NMdphz5xVuQD -0WzqBM4qjLhlrUUSYnDZ4Kljh8li28mRabZWj1v1IHcvL1rfS4DA7fXH97ekOPYPANevzxcmaAPq -P+QP/sIsNXLV54HMRGn5dTaEkKjvEIYCPclLyZYV/7L0mCueE23W+EEYhJeEPfeKeg2TQ2QkKhx+ -mSUFiC5QvliYhPP+wuaG0t3i9ubCUaOy+qQckZoaWdvUERgDxufEu5ap9FCTBYseu73BpFeZrN66 -f5U2F324i/xvA1EkfmC1akEspuhr47x4OwFl01Eo6umPBQwVutv9Zu1fZvyod9reVhXvRroRiDo3 -hvqJFdj1LjrYnSkAGPWBfERA4hfqicJHDrjSqWAdwSKoNNGsgARIbRmb/mp2NA3BBF+pnZ1DkNf4 -0IuQ4PYsJQs3Doc8+ZjNQcxYPjao/YWtePQ3ql5+lrOMbE6IPzejdWpldHaQFqqsDobvMv89zXgW -NiZbo+djAilorxWb8w+r46zHDJ2lYchZ6UsL3olzrmSaYDg3b/qVTuMj3itvJF4k77RUAFD0Ombh -Mks5D6v/iUKt/fqBKD5Ssl5+vIPD1G2D8Z301PjbrvbqMLnlVGlxmdKRzSM5D5c+UNcDnZjyYMRG -cIvgAapcS2MqbndS/S1v0Jwq7kWyl3cgytIMQ/OZ1uRp1TPLXuj3vQL6iLDjWVE8sVOqM7ZkQNcz -2MdoXRGeOLjVv5cnHEgW/liO2s5W4RuupYc1j0oU+6LQf2r2sohtiXMk4Qds3LoZgXL1ZNo9Ln2T -qCOE7UswZvUnAaGSbLSHTaYrhM4/RwmTSUD1A6Uu3aXxc9FzFZUJV6RpUCvdWqJAwllvuALZLC5+ -gR4MbtbGX8wx/blAZZtQ0/31KAi7ItAnZUixRwPV8LqltskwzyVhUg2HY33oA91pXKlIg2BB7A/u -tlm3TrncILvXufkoH9hADiSBqj0ATWgjbcnC/EkLDvXkEQyMmnn0tjNBc5tJSs33kmszDQmg5G8o -yp/gmd4ori5iOGKhELWGzq7DjzCknexSFbqIrSApTo1Bms+LPNy+AGKISH/zlarkU4Ny0Vk0nnJy -ktJ231tMPWMVuvP0DW17lFPIqj/wqkzr7K2IochIyLboNuvHyAC92VAIkWiuQw6yhvAmtBwbIOn9 -+GLVnB4GAxhrsdARLJANsmqa2JevuO2nYC9JzgKN0QNG0ds1I2LrwPx8XsM3W0sSyiw0sxTVIzqp -S5deZ1VncJuYthP6S80TPDB8PGN9HWnTeqcBmWrNcHbr/XhE21aZ1Mg0s7cKQpgoWPmW57t6mMya -ocVoFCdIFzCCkt1lyZDkeTVnXygEGL1XIYGliWJVMmW2cx/T6FX5vn3gOFfTMayfv5PlSgW2H6Nr -3FZWdkZ79MgL3FZmyeAVUT05Gr+Kxd1AOIr1l9kt4tb5yWxLv2lSmOPzASpmOhZWwscPrBhBSvxm -Hvk3oVsCoAB/OptSoNQ502oYwx3NvuUkPPHOGsVnDl476Vi1BRo3JmKEktb+QPOx/ohbroMG/W+r -wP+qwz1b04xqn02z1kJlUIq7qNDQunHDmIOnWtgIsXB6XtZCQ8e75PL5tbi61QlJwc1SnA/QUjzL -rJvTALD+jajTzYe/6Nsp246qZHj4nQncrHMokLXxUXJCTyKjUaH6l7H/qCcAgMTFXsaoxrPkUY8+ -JYv6XfpH9zpo+6aUCNB5sl2Wj+qpr4LRY026rbnoTiqUItlV4a+VaV/1QUXL28XAHAsDjJBhv+Fk -mOvUgXwQa1brGOtFqg5ptqDfg+njha5A9lZOqIwVd86JLQb95lmAlLYkOYjB7hE10SXy4elSloRE -dvvhYkSARZZ+KTZwYH4kFl626owGKzjROxcXPJb+H+vPX896DeXtTzLhwmAYCi9hkyT72frgnOyG -J1oXRqjkn/GPAmUNHewejoybK0EMmHPibE4bIUlJv6PIK7GsMhXB0kBnT5UnzRGB9T9MoiuLAaY5 -7CFMDWuHKzXKXqdb6lmAWj6gZRj13cgOfLx9oRH6lnMIzJ0/ySU6neMHgvoaT6lQY2CzoC+hfE3H -UKOdyFlRAmCaIu57LG4EVvRIiN8SOG119GKLqRsFGwHkhL0TRcz5EVF3lLSCJu6zehTywonV9YQe -yivv2SReFUuGcNuJ/ISYfvktCQpfpnjqfqwy+D7a77/SD3m/kjhdD5Rxf6njWD81gFks833r+ux8 -Tvvhl4LiWVPjPFYlWY5xZxrbNJGg2TAyvmODv80QI9Z/NNTCWprCTbuK7k1SkeYarQ22y7z13Rle -6RdbmwIcQ4dfywxH5+hcPhpk5jO9InPdd+5tRyAbCFsqFWSyIbl86zNPJOlRCktTgJGe2Di4P60r -u1ebQXy0KjrGBOzv3BS+lrQ1SV9FBqhvsorzhCDBDiHoJYa+gzlqkaROL4HuPYKrt3aVVxtCHzOG -8r417BvqT0MTkEOURs6PmiF3lW8Zc49EqbJPoBEo9A9eAI1qj4ZuVfxSk+jK9f8ok6230xIqUsU/ -sb9EUImp3uk6V3+YWW/KHfa6oH7ICz1KGSRfZ90RDau3jwN4G9L7NPZYFTlPEnsIrzJUnn6KzH5W -0/KesCRKknBsv+OMyP44jMQ4AC7b6CSgZ+fIWMZwqfzei3zKW43omt/of9Id8oTQHSPoMNeDqV0H -lo/tIq7BGExCHCUxWmKhdanL4S+alyA24PojwF+6LwhnjU3H7JkX6AZWG1qK6wSNOH0aDzRzrpXB -lDazsxmDiPzDyq4/LSqdLVNqV6XvEFIFzLNEay/IYDSF2Ju0rIw9GgOqHkSsh+LC115qL9u1y6tf -504Se12nq1wYA8tWzwfQMdwwAbgt9aAG1z0tFXeRSJSZBuaXsAnbauLO1yse/chDzHL1Xv6B0OdB -N8hherH4iglIu92K5PA5xZ3nwqa4Ud2YDBJB2a+OrACman7g2WL4nUpFNhBirhdM9cwIL+0crSdH -lZt4wrgGJrmnSBPVoWQE7y4D8JT4p5e+Q5xhd3bw7BCs1buobQzN0DbuJW2oYZ+wvA+GPkPa3ItK -L39mxKuE2OzvRKbXzrAZeWHDQNPASoscgnF6mc1tGctPBGLZlDeJjdE2MC9w/8jtiP844kAcaDIG -zLNNLkmHxEL8gIzuwSBLOXOsEb9gJtuZiOrQ5eIQsWyc5Y8H5eGK1t/cgFI8g3oeYS8STvnFqqW2 -IfWI/PzT8ZXBndHk91K+JnLBYXCdAqMfJgZ8EDHmFNiI7c29aUesi9ABZL1jxjxyFnFJ7QVLZsTe -otjHVOdklxfDpieecxLcv5MIY66JBchWG3LqT72m57VGiaZUrxMGiOMHsDZz9zwURyTVe0ThiDpu -vwf2fawAXhAayThnuAFVLgIceFWJneSJNJ1jk01eB6xqEI9Pt+WPA7qiMJnX1KgcTxwU2zZeiN3v -nKLWKNQIcpc68t/dawN8BHv0k41RF6nb3x0hcdrNfyruP9BKPnH4v2lwHE4f0AHn43fPx7R5kv2/ -aTXuY7CMuIcb0RhfPYqu8i+SNtNUwNDfEL9uYEjrw8WrHW44gvJATTsPGcjmLegn6F0LEo7gW/jQ -KCaCPXYe9QuEDL5UGFfM8kMEx2O18zrPo1/C9/zhiUFq556/wbvsZwVCvyJpfKFAlrpPKadfJMd4 -J5SYJxeOZXzA2fne5sJdkXEo+k++KEEM8LQ2nFKra/eebHCoxsNVEinp0dAn4h7Y5KBHcuy+sHcH -Jfd8xK4O6uvCh21BeSv9bL7mmbxcACR4hxbOMJytnEynDlsgHZI0NGYsIXVwnuEEG5UdEN4NghQ7 -ZHAB1Ey4hLSQ0voVqnCQWh+wuFegokIZ02XTAgaBjzHlprJ+GqxuRVbs0X151MyLsuWvBeL5ITbD -+jorvPWEmaFAOi3btBalrA9rMmoyKYoumuUOYCpq0wyqYsfQySVn6S1IehhHN7gbte2yIT2p7PPj -saAR2vPoy5/xMjddidnLdhctGbM4jJmoU4hQo/g88Q0JH1M6IoY+ohIAxVFme0HQryGAdfub+Ch5 -04VgFOxikI6+ACIvUcMoepiic920Ttaz2FCkTUVtKUnaIXpUXfo5XvShrd63JEn8aSoCkbElXb9a -QxWU6gInrukSa+G40iYFJfs5ZlPgSjHCgKjyNBAHbCPPKINb9PPivqv+pR1GJ9jB8n8DpeGVc6W0 -wiYiK11KNJtf02uqqP6rR43h7KJEYDxSAUz6d9CUx5n/SC1xDiZ6jeDwXtq/5RcIUvsXJzRiG/sE -2dvw99pBldtrUhZGKsCkiiMHGIjkjPzLMfXh+u4pTEZ6+HO7d1Q06/fdvlyilLv48Ku5tGpxU3rL -Dzr4WWaKDRp9UNrtXy66z8y5tvb29zqm7Qocf0SzgErvamgL4MeFKk2WIXaZ0Ih7oOSawevO8wOI -g8XklJMjgY4Prwhdv2yPSiPb0hgavQ+Z+EJerlYCMRJoTQE1l1VmZLMOw/79B7Xgyw5V43vLaVIw -LQGpXgDT8GhQFkC/kWo8PsZSuQQgrCrSW2s3ZlmhoeHlcAS+bLg4Jdfoj9K9F6pUWRTYArbALJML -kGV4LTL3F+3g6v9AENhO9lUBg1aPr9OH1aOL0Tx+YXd1pqR6qANnASGgPECHDFMLaunrDPXgE7rF -f54/wjyguXZzfeF839eIi9SF1t3xqAYpbTRVK1wLa8dAzgJz0baFHLarCqoxBYn4XYsTuQ7THvPJ -PEciWvxhNGnhF99uXW5WfaTICt3JDyGmtXQfDL9kR9GF49+bxxjxXhMHFgS9tiRihQkfPcQG0QNJ -FlB34EPevL7xBpxnwUPKShvdXocev95EakhHwsSC5RM3BCVrctmhAL7xj+YGanmLURkg5pR4eoZE -565a9XxgRK4SKTkQ6W+HXhLLNAzGJUN6HqE0TSdKXcq2MMpXdh6HpWh30kaTgKp3O8nF2ylOpaZE -6MJFTWfmP8VOopggx9LNwAcQ6TPWgZZzK8k51lHswMwGZrFNz6OwSEtilc4H2fIOLgMAPG/JbPFq -7ZpKBcLveutiMJZYFFJ06eJnkg+ybs4yDWDcMJDDnuzLG/lP3lpCn0Ipz0T/jod3gwoag1F7UcVA -a61IdJbkIYFh+6nQ2LRVbEkUR2+38ZMTD+DZk0OMLoSZXAjdjWcKWZVFRUOXaXYpsxSZlwGEXYE2 -J3DOuKafPBRmzu5VR2tau0WGSF+5+TUzcicohb1Xl6lYm75tERh2VeKxisgr+A+11+o2nGFmqITf -UTNxBSPK/zrwi23vt2ezyhnyDIX0NCU+gBj+r7WgnEK3FTOSa5yIuS3Dwsk7EEltRyS6DkHPmg6c -6NGthGNARbHlroqg7m8CMLPg9bE6dIWcLQkEbbzK7AMUE1jsTYbVvzeL6oTDdhHz25QOB1aHbeA6 -v3BWf16xhPHKRsFcvhkeErwr/WbSAcDuIzIV9DiWIXtMAs069Y6C6UUkOWlDrROVGuq6uLf7j1hG -j9aK9JhsbbT8K8hyz3OCisjiA7oBrpva5mKplfbPzxlP5Q7rgJuZwfIncaMU1LxGgirrNrIwm9zG -xsnS7J8bWGtfEr5XMtod+/S5fJeo20F63qxHegfr/SeOqZess7FIdF5kXad7OxZdn79nNrqAkLRx -3zMrml6UVn7Hs7ydHhUU7tbHPXqKYONfc6IsRxIbFwQR01dLGONhKsnM9Hw6p9NWYzzLMvTm6ES4 -dfK6TFv+Lz1hGzgrq0KKLI1cxgnGUDUaGRekbzZlJe6HJEXyx+gKf15QM5ztPg4NeXw4zOpocwE8 -x2yEM3UjqWzIq/5QBDY9xM2ZFDAtE3N/i13CIIevlhwoT0Oc/TwdtzGd8AeetB/Hgunplys0f5js -5SySxPTBG178C/iA/nxJagc10Z66qbUMarlyoxZ1RmixeJT6CLiiyqrVjlPsYs2YMo3loGpxPVpj -HHvOes7rALgmKb9o6/XWoqD/h1OZtjppNZ0mhiD5aQX+4Tqx6/MX+SImU72MlpxV/ypjY9WWySb5 -4oZvhJghdAOTnD/5RK7EiKXsgkN/nbIb5yWV3CeJ2/YsKwiuMA3zlL7biXcEZf2sxn5WvKHd4ZIg -eM9d/4UMdjtqcrZJplQI5EhhIscjegx6BrHR3MZd5b69ABRhYia3BcbEeH89tfGs/oE0syoDP8+o -20odW7PhqWc/G1A50jRySNeYrKETshFaQE+3mmsm4BeCk3FE+nnLdZY2wZR6jxAmssX5eB6WEoXP -Fh2vxT4anxnUveMUT74Oyy8LFkrTkxviGo67msBlaasLlZY1sP/4lgLebUcV2iadZsGVL0iiJ+W2 -u2M2nZmn3CtfpU2p9hw6pCF1jDFsiESK5NcXETxkAkQCR5QRo6r85WEUwfZH5afPkJ4BO6kVp7KC -kPAlB0EM6HEU2vZIqEi2I5W44aP4lKI+tiJE75Mb/t5/qTAxyXytO58j/zp5b4b1oTOAMM+aWVka -e2P96r+UZpQ8WLO704N7L9HFkdv5s2EVT2k4EXgUsvUNHV4A3aUYWAgS8JdtBKKs95ujViV+Fyw/ -hQsfj40K/xaInrHModMw/yjKwuEPkWoGW7RHgiguPsBX3h8C4Az6fABjKb5HnFEmN5wxHTUzK8uL -soH+F5MpZz0zh8eoG8lLiSVUwTjiboU0AbPVb09vMUi5x7Tf3ALkw3qA15luPow69waAHOjsN1u4 -djTDUXj8fy/vtGKdDHXentvfRtCLAT4U/OoEK1CZu6d18I8SNnGvK2Wx/lDbWsjZfMhccewAjI+2 -/jQPCBYJiynROewMI0SGHKzZG6s49EL0I/M7WRZK8x/nPOW/cZ3yGNx+jGNL/1n7KYh9r/Kfvtgd -CBkq2jV5iLpAnD/aOmNaRi77vq7ZPKtDx+Mcm8bKudHGnAcYY+Wj8rJX5CvkVyw9LK8efR6rlHMQ -5NjaP51IQuPH7clrZw1v7VbK3NkCsF6HyTL0awT3N8vCmAopKnlhuH1tJnB8e5WiFONWNvwbHIZP -nG6HqT3u8OZ4EVpFM0yB/dluiGfAHNBbq24Ucs5z9b3UvNpu9zm7VJ072HBtd6rhCvGFyumFbGm3 -runBQCRWoobl7Kw5jeZWXzGNyNxP56AxQ3c4ixFP/xxsnZWgLMAqEeOdEydVHE7bY6+KWzPyyXmW -Jk6gDmVhVkuaXG4viWQEXgdV4UxUdsEZeb9bqwuIFBrrJd66Hm4zjS0q6nV16xH8N8N3BwAHBhAs -d4CuA8iOXF3QpRJOlMsktjNoAoHmomXug0vfi5jFilHL4r9FmuO1O981feacITdoWsCK0leDA1W5 -xmebRoBZXOb2MlOk7cJJP1LHIL1faLUq7U5z+xerWgN0powgYkBskZ5CXwQQlOyaKpAR+9D8r6vc -hNLEnVb152HAkpd3TadJbgqb3N0nyidzGhhT6/9TAPc0qHwltGc2XYiz2FtCicJ2VZ25b+OAIgHv -wR7Tfu+lyH/j7t7D/4SYZl1r0jjj8A4nQllFi8My0TQ9Dz4C+f7jbZK0HERKlxvYSob/o8xbJcgx -ttT5pUAXfZ1dfX4uzIF3efiZdnQR8MfRM2I0btnPaUxtg5rAlVBaZeL3HJ3rm5lQ2mojSHIP8yEo -T5SFa3q51IpzmH1avGzEGmbDqALESzunIrULCDPo4UCeRaNMWCQ8LHMfmSL2dwXdnag2+krXNL/H -mBTb0DrOUlD0cf2LEglBGA81QlbufurvEvheg+5rVzVTq06GugTKhdthwmSvHMW1at1KxlnEcp0N -waldBFKHd0bejSusLwiQNMO6pVnPww9ABO1gvh5AXbEn/IHzN5IK1OUr0yk4oy0XjGAOYwzm2FUw -GdM+wy9+loayc5lFn+4Dg8hNN15tp++ZvM+z4AR2Ra+dkhbMrFEHXw8hO1Gxj53rohwffsWpJerf -Al4hkB+5FCoL3MqiGh4DbusTvvv/uijmw0Be3Vo4NGWb2QYwGzDnCU+orHNv/lxfzzgStR7bWK2q -OZ7FuRT6oMqTjQMhbJG8fJXmxtdKFDAAO5A/JmI7MC89RuKR37tF6BR1q7ECd5P1LI9MjbZQbfwi -4k6+/ir9UXxBiS8TGhoNTSlxCwCr8FO+qSr+39DFEvYbyRmJsaik0kA49954LGMvf5eWF187+FlD -tpGlUxAge9xh3mEpZYze5tiinOSarD9PuMEnuqR4t5yooGMvr4rk/lB/31jXWX/Kw4pBnPUieqP3 -kfgE1tkKz0rOqO2gebWMLE4dUiijLFf1b0vSQfIXL6hOowsxd/uDZJk1FTO//tpCOwiGGAwWV8kl -WWBNuOjykFk49auTdmFy8gnaz4qdX5wilbCnrsagp8EN0Dq09U2rHhpZKYE80UZuF+KH/WOCeN6G -JqLVcE/7npp8gVCPu25kIM92+hfF8KPzShS78Bg5CcqQFWn2LdryWnfen6lmlSR/UP13eVx2MC7/ -MNm3LZWq+10mP6n6U3L3+9cGrGRgPSSbpEFFCRosg3VP+GcZNqv0cveuKt5gyJRHRFOXIzkwy6ZJ -tx1GLlm4pBhfO0U3YrkTJX8vo5WFwOkf9iMZQcNuudfbjMHUDEDl7Hlv4qvvq3xDMRYryh69tHZk -1ush6bb9NazuSj1myVVRCE2hYG0fjeTJFFrcbYaz1s/aXYfG/B8B7uqpZn4UER70wZ9e2OrRQDjx -HnwcDfKfluvNb7aX/vxrG72rQGXLTnVPYbWvqpeE9kXykF7FWt/7hpqSYlry6LAcBZHZeuuFkIyx -u5Wmb2yM0bwU3ooeM8fMwmi7PYHI1i2AHZ3PrDyNN9mOMGRYrRRxy07JfwhSbqm6BgtAqDSZfOq+ -cTeMDIDtlpbktUAGmQQUKLmH+NjYyfeXSeHjBnq3ZgYMSvTrkbVVuQD36SGvv+5RViXBzTqfXFlm -UrFrWdeZcBr51uX4NJOSYnzyMKgAFZk9YAtBEnMmUcDMLt0/c6456dyaqPOY60B3+HWS43SuItyk -mY1V+D6O/5Y1Qw3PuAucLKkl44yXW6fyClVVcH9qkmGDy1svaFr0ir7IKXnSF3WFto6RQZqq+t7j -FKGiLzET76jziCiVoICZXbJE7sAKcpDfbTiUuE9gMeT6zWNYCLGzcgPevVh7tSDeZi/TocT8hE1X -plWIpLBu8vNJkoDOb1Gkv4434X/N3jbjtdO6Ua6aOf/OJxLwzFwAtIvrijRHihC2iE8PtRymGCSA -qL73XX/s0Ar3jJyJdCsT/2a3cnsCT8wVHKUgohv4UZIDiSLH/p3JJYBt8azNRwCaoNpVU2044xSP -ycmxCVOGRn/zaT+nOU6HHkm+3wTzajUDRygc4PddDEfB0EUs630SRV22PGuyi6KKtmMLr7AZd0+e -vpNbKl12BnDuYbR+2JOd7Uzz/fiS1o3JdTjCqoIGAeRCNYBnvFJdAZIKjpikaEi0o559eLFTPBYf -E6bt8i4+UB6wA9Jdg3gce6GZXXTva0l3MOb3MFxfz5vsjOtM0pPPHP7psqDWQwjC8mCXYl/Viioz -irUA1cvOTNifkfUyPiKiRjIyA1ZkbPBGQwTSciUyS1uinGIBWOYQU0MPfL2vqMnFGgV3XlabZ3kP -699MqiW5cXkqLiFVTeF2/HnHfIUHnKwaAiXjOE2YU0hEbuDNHvCM2AUfssJmTey67unzujHt6P/8 -WoQna3yeaN73U6rP6mJoNG6tVyNtc8sdB9nBSI2f59SjXOCKE7ZyZ1TTNjjyxzAvLUSvEOTrdGlO -nHvboNHe1FIeeDiLjECQylju6YRuUnVlUlAujWGNVNFd5WQvers620Wvt8PDSh2eMa+QOt/VwhAO -X8BKQEDq/UMEVLJmCQoo0TbhZuSqUxMX7N4ixjiqBbVKsQ+0aVPu3LDZoC12onLoYVhndC77VyNI -AiHlZb6YJi2zCG8vBtjRUB1E9QR9WuorM8yhhTMv9O7s9fKvKrGTFbg8cEXh8PmlbW54Zt6x8Y/9 -K45XH5poma6ZXGkwgWaIBg2P6m9RIVqrNFFOoIACWHNQDAxfBzvQjJfAJGQUhEpkbVYk7Z9238O4 -7JkDZf/657/mMUn/277WkhQ/QpwGm0gV7eViGsOZW/YYQcM0cvM7juf6IjmCYWGW8hrzfDK4sykf -4jguZu86+40D+CO0Zwz0DFdcHbEccwnMR2sHwD07t5SOebeWunSpJwy8V4w6udZjaWAm24xzkKP0 -fN6290soHjTD0WxM2uuKpxdc8W1g1dBefDRol0rHw5nY9orUvYRuldeMYpDa4HXRm48VfzMo1997 -vswjyKVdQB8UPEk/fMYkCZCinflDIwTJG2hqejVoIhzBpuTkLc4tLFTCSogBTLX94ElvXpsdPWca -HcaZFfjseEAnJ2z2rleS9Ywpx73WsCWeLhk+iQu+PWyK+hA7UfMSGBRYZLs8bK+GDeoAdAYf/0B/ -+Wupy5YpsAviMIZknuOn/cjsenxuB0IwdRbOvEh3Bwe43CMiK0sES35526McpYDNytfHBUz1NruR -4ZXAONjSSqKeXyn+KY4/nGQcRFWIrEN5h40L/3nPef4ID9/tSmmK82Tuz+4LNHv3/+NG+ms1zWbz -0qwVh2ZOSd2BlqqxbRmnT2wRn0A+7MNkupbjnc52Y/uUq46JS+VdkhgZS0KgvJVRY1YCkU+6a1wZ -jf/ubEpUPs64k7hIRrd/6jfUc5JAx+Orx34aIuc8+mIfFuieu4AHs82HI/+H4x6GnzaFrkRW85rl -9/589tnWfTPOob3nlQDNqVr6u10givD1CziWSXGWfUSQbsI7TIizMV/kFyLmtdr4N2FL6ChfxY2l -QxfGwHrwTK2bgJgf8ZBgc9OvYK2lZ0ZQQm5/zrt+esYiFO6MtkNxM8ODLDS8gVOrk4g9xsFwL/P2 -8z/GdOL5Kh+0c9CgTjjnAStbPKJdkmoynE2c3+K50zh2X0AfZo//YVQnzccrBqTGZVrv4N+FXzGR -fCwfDrXjy+DpTKmJ72Ryv69JC0wPo71Iw2y0LLXqfB5XU92p+3fDkgMQJMXtdEzZUXK4q5rGvZ2J -4CrGi/jbUsJ7pqKl2mnZOfL6vRQzicEcQEjD5A7Dg9vzF550PvXVktxtcLq3S8l/s3gWHjMDqzjN -qTg4MwTU6CDknLiJ1LNIBnYhch4AZjl71nDHlVMfSy7+Vnsv2Rq0To3a2wQmeaja6Qcb0fl+chXO -s/ca9ZqUTWnWtpj3u3GeHfnB7tf+PxHF8y9cWmu9//2wpUKtyGDqAvrd/0Wj9JXFd9jRXwsRHZjo -JKo2jPI34yL3Bu+OdF6xijTbnsLrwh+r/cs4hjhHFqyEAf+gmRnSTCjoqjfHI7hFyDcmCGmdxIUu -AGBoLvrSH6eF5oMkNrScPbhAu7pv0UpRa6n0Jrmg/TSHLT07kF7B+CioT3MpaRNib8VmmybmixuD -hbOmLvt5koavp2xe3S/oFiuazoSX1ywdkKYo6Q8WXtd7XXi6JaqjVNOBEKcunstzlukT5NzvYVsE -XSVtD3VdUbGe/64PUHxKI5CT8mg+YqPiDjW3Qp4EIL6QxLZBLQHhA3DrHMHD/wVd7XtdiyEa3mk5 -ncZFMyRhBcWp8FZdE/5XnTzzsLB5q6eFOrm1DTEWcuXUO67Ee/pOQaMhoHv3k9YTRpHiNl++kMtk -sIcIhW6D9P7ewIZfmpZ0X9ImcW5E2PTucfWDm/tU+WtsWuAZB9lHrQZS7twtAiRrUMDqUr8Va9uv -Fjo+PtampR0JRNu4LQYA65CP1DeNPUN69XPmlptMuPSntt91BFpk6NM8DWRpJ+qHoOtpXaXhXf4E -4NRMWifixbEbzKEamSb8p7VcESdjp7EZIPuf0RXwTII5Pp13GdVuBfeyeybF/7qjD093GM02Bgyr -juHfWw8SeFmndxHL08T29rZiPMMTWqPCHQ1h3PtO76fmi8lGuOitEvg/3sebrceHwcfSaABFhcUs -qw72eFIN5+WLxkHjgZUjMFFwkXac1AlgxJyZb9TbxznA5QiMk8l5VeLhJ94LD6l95bhyGOCz6pOI -ncCLX2T/EwtpPwxRAVpPSqVgLlWflD0S5qn+6vFjy3Xnpd7D8cDeKxhTdss9SgVamVp7ibrjMc8c -GoHDYC87XEgy1q6zD/1xgiiiauJ/MVLFKcmqRJ1Qncv4zeeTABCaurG/IjMvpJHo7bAEiLhuU/08 -tg9cRCEHUBQ7hAa4/7hIvbXcU2NjMMdml1xY1Pv8m9cHiSxLhTD9TqxPlvxbVPYfGW/VfGyQd/kw -a2CkOWuTZtgM5orfZMl/KZnkqrSTbSGOV9vDb1sI2tnaGtd03ZJtYZHxBZi3+RvxZpM3hslI/cpX -UJtIXuDUNpzwWNcpharGul7Cr1m35Rip6E0F+IgG8uUin2vZIOHZT8g7aspHZpRskA8rbmqtxwxV -DrcIJYIiUuiOcUkyg9wNabOcoyfhtHedRi43tJlb5O4X/lUlfbCGAWrTtQ/CwYjI3c2mGlnnJKFD -DjIgNP2U0I5Egr11ihv0Jhi3UU/+Jrz5FmC96uBeKJzI5oBaeegh4ofQOxhgQ/0qpKST0ib18gfz -wq4bDli4nqjkm1REHvXdfFtp1fjAEHhukyyTxLS4X/MEGENt9/rC55ue7U8p60tcAFF4lENp111/ -RTzxCDzMhbXagm1sBcWuPtU69dBPP3MgPqwK+DRnt9vAJcGU6e2G9B0M2qWr5gNxwLvhZddnTtIM -2oLmGU+iNcSBVS0af9sYpr36xUFhLFJm/wrJ2q1o97+kKhEsx8Xf3qSLNJ9fjHPdqJHtQc6AJL6K -GGPZUAx+cMll9Jh8krec20mVUF2s4JEnCpeZUo/M0vyZfInQTEKhhb86qyr2QRXfpbha9UU4lUJR -+wOel5jQJQ/ZiI1pvWgmHBPQ4vaLyoIJcqRdf3py6S7fYMatTVnQi5m1SM+OqrFT/SA7ZTC9gO2O -mPVlorki//HcTzQjnU110HSktiuZ5AgriuAW7b6pZpWXoYp97z7uXFfencJPCrR8lDGSyQONl+vv -soNY47obS4VhHcGkUu+POftx+PtJLUZyO6JsDeIqbVe1+Q03GHxaR5VwOgqd40NAPHRM5Yv6gjh8 -SioGK4UtCidTO277i5UJgwGApaGsiLX31iN99OlcnGlE31ZUOKS22pyr9HFO6s+1BJNeiDr3hLvO -ZpIcm5E2oKGOb451Ug/XymoHHghn+zQMtgnpCGzKLhfTJnhtAR6ELSw2j5ryeqNqLt7JAn7ssZAe -rH/lebBwYpt55AgGJuNWGqbZuTcZ2pFS1ZMvz2+tdW4b3SLiEAsr95MgINptIJtnUWl08OgipxsY -5yGXZ2J7ocszz1l9bSkIZVGIe3gnwyiO+ThJlzB2Wn2O3QThsTTIQpkLp8kirAx0DYMEnb95cLH4 -DrtzcwAMso5zIpulJwbyI7EXi7vhhMff5x+iROhjVRCVl+/qG+tr8TliIEaKaQoTD0kH+ZV7dImi -8ExhSdUqto33VNtkClhrzLEzILhNbOVGcua+L57EY2Ua8i9saS/LmLl1JZR9r+Ql6BcmcBinM+u2 -gH+IhRmOO/cVEWPS/NFKvpZ8F4cXOX0m2M8np2DYeRqCbT//R87HxvPv+STPYwxbnAg4iSQ6fdiD -qi8O6z5msQfwRy+pBg+S9T2/aMmoVJ0UoezUIF1xfyN28x0+eS1opS9EK9DaUS6LyNlJLkEFkGCH -SwmfC7zb1Jy1RAMHuLC1yTYTaQk+OikpJqyRHsFAAoNyNl721aCdxFARJz6pPCvuYvpGgVZrf+Bd -255tsjABIxEzifb3d68N0oE5m/RYe5f8tDtBAqhNYmdilOCVowLheFMC0S+Z7gJmfwKyvKwXERDp -hz/qSMfyfZh9ABaIqo2KtI4tyhy8jA0T5gzsF3pVzHvSo1ZSggnmTst3oQtIsLuOvs/7KGVy0mi0 -YBkl9s8bvo0lpoSZUFu73+LVNAoW9XFfSL+arCdCbHuzCgEiPMubQJdzVjZ2ZwsG7E8JkRJf0Kwl -Pw380D14UGT41Vxvj3wNkjtjJIGHl8L/9VoCpv8aBtZ/bzJmAhIrn4jLY7Uf4idkIfGqM0IaKZRr -UBHWJvOIv94WqT5ueWFbv2Ni/NYSOvmKl+yLo28UwcMF8j6PyfE0v1JSy0H1aA+gZgQOCCutPb5B -2sSO94MS3VDi2L1TrMX0PGkBgkfBH16ucmIZMaGkbghVqHzUJg3nc/3kI8hpV00vJQcaUDRmDUcM -eh2xOwMgZltVHVhF4DXpM59YHjOTjOZzyTuOGJU0Js1ONXg425Bggmp8rHn484+k4t3dnZeinia3 -VmSGi3R5op28H0qOmr5Uk+NZMRfQy27ZpCmAeuovT9nR4v+SmhdGW6GK1JrHPhsHgp2Hr8O1cS1Q -D4x7ybb/iVFlGIwkG7funTUJEW+d+vpzZwDV5qoYrn8rgTFNW8PKSLW9WXdwrmsEeTelKgkdVsFk -ccjSdcaEIc2ug7B+VeGXJqWDBl5nbMsfW299mBisNPv3Zp16EsZ6VAFzzC/iq36A8gkdgBDjanwc -deYb+WQ9p4sQuy6SegHSm5ewO5KJEv4qa5zxYzFX7DzguVkxxz9lEDqv8nEOrQGk8Qa3KjP5Ae44 -YiWTZWKEQJE9OUZie08MF51MvvWFlOoeaS1KKqCC3vkuWiVBTPXrtqiR9xtWFpQS+OPHNgAA790G -UfN0+o7OU7G+UGBsGxmAIe2vuaO4c2dU5asQUaXp6T45d3fFqUQ0ABLoqr8yMqjm+hddEUHeU1qa -VUoWbamufk7g207Bhuq72Cx2IhVIhLyp3MgW9GYpYqOqeS2ahW3HI3L/CmyXUGQn61xsnZqxT9IF -ox8bGsTGXPUFKLa5Qxt1cQGQIevjplcH/Ta682KcW2tx36oPStsrOWr/ox6P1jO198E0Z9tb+uTR -/8C0nWPAWHsrcaaA8gC1rtVhMvh9xPXW1VKLhX1nmek9sbhnj5RfpDQp14r8m9mknpx93ULA2AIW -NCeNdsEp6+AfgxtHXQsLX0GAIPQuBVTRHVkNJWC6fzZoD9skzoNranvTn4pGX75sp4WYDoy7iX4l -qXFjDXo8aDlbfBf+RHZo1j9vuJq0CZLAgzVooCfaCVMXjiP8RZmtif8ujs1pQKq2MjHb0vECN1ah -/YHTDfXQn8TZBCtdLiFTue6Wd1p3fMlb8/HVYAv/BOTbPo/sC264ZWX7PHngA0oeB09JnjgxDEk+ -CLINTUCEbx2XfJPITltjxNeN81v9Q0yFvfi60cycP175k3+GUdaFL/VWZGZPsEcXMjN3jUc0NxTc -PFBgypSCgCzWhKfJQkqC6S9qnZ4/eV/QD1pT/4J19O7cD5PxvXtpJIWG+AzDawMtOny7sBwZGBPq -QIJMbfHf3xZKqUsmMZttptyiE1nfxhm5KiqVhI97ECnOnKjudNBHz/EVObOdnOIzFWjz7spHnRG9 -dkkm5ba1bCB6tVEOC+vtuS1362LXq67uqQuezfRqhr+BQZlCsAhLNdbnQ7JJjn3dmoHC7KYEn7ip -/JAsKEbpz+nZX4R2YnATtNS2yh1eu7GvD1VGth2PsqH1+dNs3xXx3yO6xcaduDl4NPU1iwkIvp6B -zI7ceUseKMtHS1CoIAeXGx7oWmrKAu+Cnt7eASHCtq+7q87eRMsrLahC2k1DQAe8FEVB6voejxm2 -6B5331jGOyqInxJDvs7DfGaUAjkDdH/VOUby7I6+yWTvcyaYQUm3DRO0x/LVcqQkwhjMOpp//hVl -62ygVX2PwHejLO3StwK9Rkup5GEdjH4CkgQUFt7kVhGjU1GF0EDXdkHMsv3t+vI1UYNRMhglkXsU -ANOGWsI5f0yEETTdrCei/yKveQ5uyw/kGf6tQeDjAhzZWyxp4XoCManeAqoZ9qJdZiAnugxBIoJd -wOhNj4Y6VdGKSOrqiTAHrBmKUDZ2uYShiAUp3DwWyquJHpZh2Nh1IfUN/JJl4unnTyiv/I8rCmpa -ToM1uBkWhykL1NGHykgZjhC12hOsRTSKMm0J8b1ipaJZpAOnGdTAXG7CGQEW2m0Rktu5tgbRZiqd -TQiPFGKlQEUkuebr8EIL6+CXACIrWjiZTWchDruSpXH4sHNJYmzZWArCFL6KA5jYy09/WB/F9JYZ -iWDZxewaOVYMomgGTcP7kjVuAgKVZxxCAS8EFUn4u70et0Mj1tBVvybeHNFuBB0YxBDTdG6siFmr -Dhmg1x3MazrWEpvqg5gABGWOhnozX6+wq2PUM2W4qjAHaDwlTMpFC84o/qaFNYBRSsyfNohAZgA3 -4RNIxgoJ2LEcsw0EM/thOVUEFDRJEM1wFy5A9fR56r95Yd1Tewkmuy7chcSVLJu88WhlUdBkxGFE -MrG8swWaz8XUosTYBqb1Nj7ySOvr+Go/QNUazSszaN+1TppG8u9wuLjqNM/LhwGCo7CwV6Pjt2aj -yqQjOq/JMeES2tQYqaydtnVLajvpwV/zS9AYPkeZmo8mcl/eIDRFP5VuZ8EtMP7VmDP5FDqzpdJC -27PfLBYdGSGfmJV1dRbvuIa4F98LTJSQiISEG+DGhHZDibiwBqcLn4udOkS221XvnJK2IuzAqmKh -9hVx8JQI7erOd5YTtTB8U+zqs3hhmbRIjhFTUhV8sxblIGCgNahkXEifycAAA9A1M+jbgt5nRPxE -9uUoYxeel5xlSl+imXRacZ/Jj9IjW6rljUu+YVXfkaxBxuXoB1+CzxNVMkJtqzNHzZHPIYONKmE/ -QhDEMrAb2waYLk0Z/j/lWQbal4873tcajcKSbBnQhP5zDnfKZ/8y8CF5JshJfaOcA6+Uixx2ztTl -ZnE21gjgVXv5Sefk98YxKncn97dJD05563g0hOI15B6gHeRFUFHZJfhV1Pq1w2gtHPnx6Vu/XfuB -BcR7LnHvQ4dHOXJBzcKHETDpwLzZ9jbnPguDbNDMbUo4Z1JcgR9pxRcERA5P9NvIe+xYV1lbBlX4 -vhPNkSUYUd5+7Rf5kGz2Kh06GLBqmfBESU7XkZW/60g26cmkonBgt0CauP+PRmUJFt8fx3a9K/lR -qNhG1A+bEEGV2ilqltmphljRxcxyBkLE9MfBbsgw+d3q149+AjI30zCcqeeHsgI7xI4if+nd4kmn -zvfIatbs5SqC6jWs2khtaaegbdMna+8WNNGJP0uUsRCpNW8a7AyDCk46EJxd5VX4lCiwTfc+gpiQ -3CuvNwsVtvKpCLeclCrhbnl8gNWEed5A2LiwwoA417SZZHm3+ABSXELLG810EyFtzbTc16WwGSbK -TllsiuQey3pNXN7HE3n+uox7Idx27lbbJwmBWxjHGiFR5lPT2SyvHc2CCIhr4P/V4uDgnwGJOKIT -fmvTLCpShPamRu9lVg9wpLxzXOZFHkAmEhHAMeV94IAbTQ1joE5QBDwNezr3BSCw3topQAffTXMp -FqQVTCg3VR/4e7NBQ9eoepCzaTw+ufkRztw9zNoQap9/6+LBNhva4hFnE/CvWnJZ1sNIq8wNzktY -Fx0jJVtEDkAqdFtz7i68api2V5GUhUfyqpDpczjDGkVQTgFm4hlnnJCc3VCUw5o3g2hgExe/1Diy -Wfh/O8Im93lp43Cuto3LqFW38c2NqKV/UE173iWFlTV8Yp3z3gPHHyx3Oij1p930h4OzD+1FeFO3 -XsjLNdAxIr0l9GbG4eB3Q1eifXHnE3BLm2pra3c7ZixI/zKlXGJ+4zEbNJjnWYpYfZLjQEfaRKPR -vi4MDbqG+mByYkwsRlf0J2xvXx9qg5TUlOOEN4vMLmFRkJgW0xbviYjre6qfhsPxhKH4AqygV+a2 -EhJ9HHPiubPoibN+EomhJ/w4c1N2jiBK2Luh7ndVnD6gUItUtOZLKDbwKzU9daK1XI072au3ahoX -oEj34pbUTHejLk/EApxjTSiL5AnFViKyStyQ5Ew+4EHCwC/2PWFpnC8Lb2+UfTcv6D12defTy5A/ -qXGOQhRTKGd4bz11Q0Jvu3qbZFXe6t7jkqs7XpJKAhy12DNptLf5AIyIbITR0wQJYAwIbzt7ueld -vHRxIvcgntp/6vmlkFiskGMa0ruFykI0jFZsfv0RESIwIsocYFf8SBZQfBrBRpy2xokSJcUjTTQM -Lg6UfmcV4ZQVtLO2DvxgCkkePtq7oXGwaNLWuTno8NnVz5qjJg5uF4cADsOQlB0vWJpsFDnu/gAA -pwq9pK5Mm1s+sEePVxJ0EGyuf6ouuqvI+eGBSMSdwVBJYFp0igvq1sfIm6Iq5NCRbuvuFFs1awWR -nJe+ZmjWUrNLc/7kihGLUMLGi7xu8j+DmEvByworbK5N7xMhyi71tz11oYAbZ3FKSoeHftlKn0Lp -rgbsoobS9snpmSXYjixgrD6BIlb5Dr0a0+fTqTwJ9G/P7wENwPPdZLhK+illB6EtobVu2p0884Os -jMtssVKOZWfPTw2jBGb3BumNWSDH8y4UiesEA1PiqjkfFYYIxZnghzNH5vVmc+nI3H8L6RwN5P8j -2scE++vNVALvkemF7QbcX+2w1cZFK4yMPNKbSAFB6Q8CUHX/YY4PYu1fqeLt4yj4UE8B1LwRh8Dg -EoVHG87RXinNXeNy9mH/x23zmOKEDchNE7x43D7BJzS+noNLJXEn/GCK42Qu/HHfhhbpcmLbwmWr -k3h0Wgcm63gkAh0u5AvGBQeLWO7icvO2R4QvXRT/wF+lpHM8jNIu+rgpDVAxNjrbLrj+KLLPaW7X -I9JdxfssRLJszhAkoJdLFk1qzwCB4QfevZOPrPvGw0o2E6cQb9EYllgiaFbcN+3IadOaY9mqcGB7 -MMiQhXG4ibYjTZDG5INeaOItNrCTB6cVPTTw7O9z1htV2ci243KmBmIkdytVeLdVzyKmwKRgnVPa -mr4fEBsaivvSI5UyuRM8CH/6yHP9rTWvvgx0kLMhgW/Snb0mGM9AZJsbBsOKJeG623gs04cUCbr1 -wKFMFI+Lks1NHBqr72lH1i4Skpeqzbd/c6g9YRt9tC5HnBbWjCVSdWjndAdWym9FmeR81kci56vW -RiPGx7Bm26P4rBHUc7GFVLCkGT8Xtw1qs8Wk/3EthB1QHW14iOxrgVHcF0d6wfqE0A2kzayAConL -9yIPaPrnFJTdvxLJKkqqTnicMiy952nKfPAVitWOzvvnjng5SKqi4rKfHi9+Eh/eKlPmConA47r9 -q88nkoirrt6EA4jQ+SxY5nR5vCJJpaWFRCezig96FRlRgIVIhu7Jc3cz58tbW6BNNBn46rIQ/Upx -yqM+sNNM00uV1IXXLMV45BQDbhvuhamMjtoplrAl3jqNhsj7JhfhtfAFTP+LtFCCAOzQjgfLaQ90 -tCF1R5ZsPKmBzwoN5dwAkvq1U8MrAjXLoPiYG3LHb66g5Ddf05AjCYo97Vq4xnjn0LBMUIxxGbTa -RlkGdNVZErUwBgSRuuKOpL/8xA6ZHUldMYXrKIipfHZTjBxB3qFTKR9/0mT1tVGxrw6KsZ4C2EyK -rOkbBXac2AnBbTPepMDDb7NyVUV+vD1jillzeWeL6Y+laRktUVij4A1es94avBRcvfIyshvMoQrj -Cks8x8hiBUtp22jNJGEe1j6bg6IFTCUiGvOT6oyvbzK4lXbOXnNCjAtJLq/60Hjy+IUsj0EsJMRj -ktQ3pqhHJbcGw7aOfzFi7/bvmYb/QNCl7MCckURcTTw3UpkTt939MsdFfiLeRsNJPZtptBKDTvRl -q/GpwSsZQJtdm76LoYf/5oS047obCLYJ7LDt45QoUdBZ8BRXU/NvKM+6mFsQChjvZaMCtAfcwvfW -MaSM9/HvFJmx0quMW05IJFICEUEjHQ30BWitU97fmBiqOHiJwMCGuYooclNY5ocDVcgRMp1GgDNv -5S19+X9+GPEg23GrYIaVyJnGM0k4qcilnPCddbEuE2VEYRu+v4dAnpHInpllkrtXA533idTEn3vA -UIKGLOYDpH2J/NQPqZBL4fONOrlcvlL8sgz2Mr8Cq+EP8URz8lE3g2Nh/Bv7qfq/BC0egSOJaSNP -3ObhD46gQZ6cMZqASlGXgywEIOIYj/bkqIVaSSk1PX2QE/fQkElot/Y2OCXbWzPwOiXQq0XSevIR -QtfLSAudOq7AyRM05fOkFjp+El9Uz+48mCPF7D6FXM20Apy0nmqhG7zmKiqhDXVN64alLVAMN1ce -kFd2KDpmiYUkAOUNaeQ9RnnXhZ3VGK91YfNEHaHrqjXm0IBxHlEbS3IS4Nvq03hXIdc1RUC8MpJD -uKN383JLBFpznILAf0yEX8N0kyAF07VDzJrNWXDvkQ+TZrwKnZFTb//l2o2GAwMBt3ZneSEkErqM -sX6NTBJ6TlXd3gI4sjlo3Iega1N3F0w76glz82YyeyOR21k2JNcr1udq26E32loF8Oe0FGYQjPs1 -d2SQk6EbQ7yIuIi/PxjY10opX7AMBUy3Y//oZoNbILEIibr8kJKFMYskLB5BATbCarKLr7LblXhj -3nkWnOVih2pRdKKGqzotumhQ49MNTud1SHOL1e7Jrhypxb4LKeMlu2QxAd0U+4uT2reD/WaFmWMz -59k5GgPR+F6rEsAdMk7MKGbhVKRWPo39tpnFyagI9y2vQwubr5773GUnDFOHvvufVWRIWrsi4D8/ -Lk8LlmFM90B81OIM71Ojf65YNL39UxXWAlzsjl/Hijwm7Q45mKSvKr76rZwyhmeifnuDSsGSrp7G -OPdOEw9vF5B8rDo9EGOp7luLoRDDG31Nn3hmQEY1v9Wgw1CHVr23fYrHz5nobhbIKVdkqT5dV0Bt -lipUFPxItdAqT2sB7DYCPJWzdJvuFgTn7ijaYJOzuxjuP7vOHPezQD1HQac04pjIjDv2tWon7HFL -HA7OhsKCnnlGsZMzg5GO6ERuTAaa50lFE6FlXQrthmVav8XHEEjwafAadUY/aifqwSFbmK1hnYRn -zk75VQSxIFFq2YcoeQjpu84qu4xxH21B16JaH2iAkob/0A32oF7RYr1kZMrl+N8VeLg+Ahm0+Rsi -mf17qlX8wQJeiAUEdmaIXLLoukhNhl4aWuR/+mPAQhAPdbqdBLV/vnjMv4ZpNfwMEl+LWKzkrfAZ -hI7faHlcMuvNGzf85PK95z3acafl+qAXawAKCXpTijB44x15mXV9hBjFoluX/KvOlA/4OECn/vQz -/fndC75Pedkcxa2hNgY6YVi5YbBaPkkftI4Y/CMJ6Jel6ZWNt/U5avF2YdpX/syogtVZN5b4Q+RH -uPCJeRDKg5YYtix6XGxFRWuhl7bvQkuSXHocXrXCoZ1NnFTYhCMSTkgokGnwR8dI558suMceS2QT -NeYLHSmnvijZxx4U+j7PNaN614WFAB2YPInSxuHO9e2wnVRyeNy382J/BsvbrB4ZjXaJsoH9iAJP -jtzpzxICsDyGdpxb8H1+8S2WfP/n8rVQRXW8Q5HDVqVxJvRHYRWcnzjda7a8kg85UNX4sNq9sgCF -8n20O4pIG4vTIcbXlckK28bij4FvJ9+CcIBWJ/7OSzxp+T7YL9KyaldqxvWlCVlg4NtMqXiBrrNd -kqyeFm3fZ9mXWeEd5zjq2pVkw+BcSu7C7dwGOgghG2FLh4moQvmVKFmQoz8rMtjWfnRnzH6lcsFd -2StsAwSXWoDoRvPD52Aar/+IRVsnaIVXG4aTfBoYjJ24EH4B0NHLfUepy+wZXy7+wnjxg4H5POxY -KvVcAtlhgGC/5cNruWbFZVFmMDcDWo74eFISQ+zNOt+plrHJB9IGM/qCdRdX8J2Uas/YoBmJ2E5Y -veOBrhNcSxj4/uDKOEn38NK7roSjZdAha7VuvhAHQ5hGo3raC9IqXhmBzJnTErsoW68qq4VO7MlB -TzdIXAV/9bqlM4FiL0BGin+HJ5HLssCXLjVCdqE75819Ews/jC9v1Rv1FuJ46n4TBPP10mh6bM8n -AZcOz7ZgWumc4aNn8Ea6qvLLUyxk5Wua1LS4maUN2nvWaTgaiTiyk3ygvf471cZU4b+l0C1PdO74 -rqacdbCvqc1UchWggmThVAH9yx08yIDOjWjcbfgwYCugwlIKNCNiQBRJkqhpFXoGJ+GAsPr/7088 -hvSikPbfkoY2ukUhWW1fbNwqpHDlcgnVZbPvi2uhVXeg43awr3gbwBqLVp7x4p7hVXnuKQR6WAH2 -l0XNvrYsE6Woexw2q1d+h0m3v+PWDRnWVPDyBfubifKjJAb1CacLgVQU0yYDuPiLD0KUWRd2UfOE -8LOvTKAc3XDXWikz8wveTrPE2r/zB8zoGLRlcILDaSA1ncRDaKM+jEwU/yhUvYymngshNfc0dzgA -6l/IOav58/Wu99NkjmW2KcUPejhYtXFb4YCK++FyPwSBO09PHIyV0WPsZTyowdC+22yVU99z5CEq -Gye/ycXqHj5kzBzVkbxpMaDrxWRj1gK3A+H4ib0ZdAV2f9LGuYjCJ+oAfPtCUDELICo5MEeW01qy -Y3UItwthIyG1N3USimgj+WbwJdRMt+pV7fsSG4XGHQBdYnUuht0kevkAZcRQNwvJ6AwxK730zM9Q -7cF6HhOVmhFmWEaCEGBI8Atz9FijH2neGTKmyX7Qn6bFYQtfBhsrq/atJXBf2ZbSvp2pa1h3NBtW -+1j7H2BxYPaBsbJfCjltrEDsaw6YvHyjgwJ0qOUJcr5g91McW5Y4DGH5nPZSCLJANAPwIKVvshik -i5z1XGjejJpEvuG8UT2g6AeLGBmlwnHzYoqFg6IqlrPorp2OQA6ptedcshHFA3GWFVU+gZQhiSaO -pNXPvzT4an6+CGXW77yo2Zsz5BoQ00wwMW/5OZSmd0eXabUlQQ+pnzEXtfylV3v+bumEbvV+d1dW -OsM7XBDXkU0NX/hEyG6XUV3Y4SHLdwjdvTcwum11QgWhdsCaHBzRBnQJbg7JkbN2KbPQKIV9iqAT -ABSbacEwS0IMUkJIAw0Cg5f+u/qAYHxaK1O0ri8mtMw1oL9WlqOZllFwzEqevk2F+bclrQH6k7Zg -tIe3lVLRtuKfiynze1SpahiMnXq3gEsZeJVs1M5DDKx8HOuhIILJ0EBeMjmo+0fuJ8z5c06Wq/7e -L4/vTD8g0LpV7Heq71x3JktkPEbct0gTqIOkSF04iNX9u1UYiwUT6YIZodcb7Oe90+K77GEI3gme -5Rt0R5rMbgQdRkPKZfe5vIti/0iYmZ6C1vBph4J7umabtIPWn3q/LWXM6Pz6MjLLrIMBWH9/delX -4FWxAuTb60MUVGvvTsCOupJ8pIq1T0dCCpQoe5E3Dd1oupxQlRkTU7b2LRMSRVQin3ZlYb41BSMb -g+EJK/h4B2hIX90fuhzj6oRD8xJ7VmHi/8lDbCCa0npypPs/jWxE2jGfD9O2MCt1whHm7QW5PRHQ -nNvbFdEHyCYClgll708JulChWw9yfRSDKDPe9V37n8MBPerLi7UmRXHuADy8bw2Z3R3Y8yWygRH3 -R5LuDut2NXtLYoCXoHMuiHJasR3zsfMMcseVQRar2+LetV3Dqj3CU4nw/37AHrZ/JhKAcU5yNtm/ -ealFp5SJHJpvELQrS7q0eQxkz7thUcQiWBOkG2Wlqb4bRRa097ldRKLOFg7yJSXgPMyfrhfRauwr -qvzyjs/5DgGJzL+81vqxWpj+D4AW24cJxGfsJYQZvzLnPzecdHRTbeNToOtpAJKjq4zla7QxvQBJ -4ijl0VrAS1fW3KIXbiOcUngflBGzwOZc/akoLaLMrJARWAw4+TFzCrwOr3klYF6smPPZUNZch6lY -Yt+SbDznmt8QbIVBvJVfyJUbz+940GO271CczjxaIuoS6iKVcr80M3e38LNXvp0s7AYXuNzAHk9b -xUGr/v0ImHXI31fFruuNYIEUHsZ0TOvNH23UsJ1JeSvRng4CyLXyiPbI790In9OjSHCoVf00eaNU -ShbCrH1aU6lDda3t6ZYIfDjZNHupDqJS2M+vDD6eyXOtS53q17NI/OPkTIgAlzSh+LmZ+Ujsj7p7 -kItmZa8SHrQzFVjP6Xj17JqTTG6eXDTNljbCUEOwpCOF/BGH+IVmewuaCntYmI0QYAbce4yE6hkO -ttREYJwkZaxZcp9ZGUTDCsCuP1Rvreanwef4TnyW3wygnvhHvO7Olplds2B/SF+JfXIwxqdlXoPu -QDsu5J3Yo83nstr5bCG5GmqgvEdMyd9MBleDK9ekdXZ+ZqIJ0jUZcuh74PG0CY2Ev11+g2rGkqA8 -IykmCydOMqnM7yT8RIWdimaNfFUnsnQkvs37sC8a4OljTzkrbtciLiBVumQrKpXM3Wd6416wtQPq -zk3nULJsxS2QAB0ENijuoPteNHAKyvGdz3qqg71B7C9L6mFSBt9yjlQtVer92v03NLpc9detijqB -XiDmofwoxzJo0esD33jHwa7YLtGrqLoigtsjLaAiTv7uKKviLFej/B1WXFm0hmsG0Ex432fbpTbn -JirAtniDz2/coR2EXgcZoID3Nla6im9XgLeeWuFR76qAl1BVrtm2K7NuKdZciLJEbk5x5JMgG95Y -BiLBpBNcannMRpll3FOLsJiQSxjtxqQT8knTIM5JmGoSBB6Nm16gfrJUSvut0gel1ksKr4GtNtnN -YaG1Saqska44rVBbx8Bx0JWgCtPdSfPCAnfB6mzwKksmVwQ8PSr8cicOmfTUQ2h875yr/HrEV23/ -UO5HTWkfp6XIJ7NPInqoNG0oTqOz8rfzdtz1Kd2uG5LbNGZM+yBnsnhijmgkV/UcW9w+xgFmjIqP -x+9M7Gv45726te8+2fNpS/8kgPqhoCnFREMwXNYlZ3b4ucYW22vF2SSVcwo72FdPjClX//JwRNph -A3M4Ee1vlTvziWVSPLi61NxX5mM8QZsxvmTH65TTbJbtKQNnf1uREF2hd27oGV/0hi2R7tYReEac -V/CkuqvuEsDxQQ1p2XlAli82XkBbMXU0338G3gNkgdXWyh2YD0yH/x4C+RWUsdQJY51NJ9f7awTQ -eDuxwxAL26xgLta7QnPyHGzHaC1WrCRQEbvQdMlo6VpPXzvsKM9O1/NpQbZMCcF06J0/OjZ29YMN -79TKsUeooiCDbuY94tOSntoG+89C2eKJqVpmjoBYrUvtCgveUcBb6jFAYFwBv5V0EzA9Yw5iAfWw -vpJZ0PV/t3jzYuVqM5dMYA3se9/Y9yB/xxFhpKd7jHHCjLjBX7JhPW5l7FvDmPbHjJnpdhAA5tqf -vTFEW/BjJZPn4gMiqC3PB3TJO4wEfgyzXot+ppbRAo+3DonNK3eu9x+GzHrtPvckKusVb//Mpcgt -TQsAFjxwdeHB/zRjFicd/vtljOJOcPMCmfa+tim2Z4EUHCxMYh1HZCMPRxS+5AyOUkVygzBVom8t -Fx4gUtlDVZSgKAJORKl/uqwXCsGyp4TaYn80juzG746qHcXKrPeDbylWdIz+8faUqFgIhR8Gt/0N -0Erb/NOD4SJkNv4wzXvIshTEO9zdcV0EkKU4y4ZcCQiJivfYIHO7ZdmBCJk5X14as12HXUT092fr -kjVz+eP/BoUkKGIZgKga9zJBPPAWZBrnuhlpUfiargxOjYn6RxChDVZpCIsERMhiiaNDJTMdbU3b -Xj79I81qOflENaTn5uW151o5SDcmUdBJ3GuvGH8/UNXzBqQd+Io6z46UW5KrJ2dEqfK3CIARb4Ed -hBFG0yqGmbhEACk/zm7mB543qhXo38cqV6vjSFfBXjx6yiJfLOmJ3I3epvY3cVz0Cm9GpvNj+PyR -DA42f5q1DNwYw0Zq8is/jbmBs1/tbmwOvphvUFZoEy1TXaOioh9b6S+bWS0nMvKB7HdMGSuwSIKr -b6+QaVmJbedVosD47AJv6Un7B11/4TVQXOxINrsIvvrJMH31uo6jxDjpKn2oMbKrF4ausFDh4nvj -H6IK9i9ZnJJGzV09TlgHaO6zhkjXQ0g1scBlWygfbornHvL6QLGSmaDoHTavtoJ2toL7u3c8wUWM -uujSoiEi2TAGF2jAemWCmFRjNC9EdhY0nI6Mwm+85ydkzLQ8krLETDqTkOxrUQjr/xxOphzaWjBi -/aDEtTy+8C+j+8+vKsn1c6pmu/f2KLxquJ+PBJfqmBhfAYoxNJYwa4IhTUKNglkYebBHJ1Mt2CKc -dsNi0u9siFI0f1NXivcN2s5Z9AODsLcD+Mnq2ixZaqGRV+bq/2MxYNPtCt2QnpWDv9jDGpgMnefl -eUhPpEbhRgEaZ1zFMYd2RcJjsDbowmk5YqEM6jsLVCmTbbUCwsbonmGnfUI/EKo0hsJvHr4wRzSq -cPOH6pbIRFbnhr9baP67FkR9/YI7AcWXVOmgAajb/XBAk3kt0aIBSUtGgLmy1+WTZSFPNic9dF9t -nPj8IbTAG+0Ci62x1YrN8AtiRgfEAdzdN2TgFWRmpH6SrbfCwynumH94wvoQMqolh8wEFJRQDECB -58JWfimp4R0uJmTBGfigQQjeIHUM3hXBwssnixdnujtUV1tRHtWTDZMn/GvD59tGVtu3DJ21FQLY -e0F9pY7cY315dlfwitBSyx/1A0T/O9btuT0VDlpqcMiotzs4hD4PjxWgLUCi8h5e+NyqlJqB9h9x -+O+mVg73ZTjKvu+SWz1ek0nvkH3uJv7+WERbc4mrxQH63kWKZDIModUgaujhLnn4PiphYHAQgSfi -ofp7efd2YQbJmoJWiH6bC+dR4un6r3kUuPZCJ1zeWd4Kk9EapbHrD+K3pIy0CCwgLxD8n7ZBs/FJ -gT+rzdvAJ9nvK3T3XDbgdMXMWGL6uvetfwFY515PfzOLz0P89Fqo928yhaf9ENaPHh3wygzffaUC -QQiD0mTvz/EhPn0d/zweeWlJmLacW4SXEVn1H0B0XTWituvc4Uo3lmkg+NJsDqse2KBUJPztk/5R -sTNVeGjTJqrrRDHUxCm2yl4c0bxLTElGOJTEogkMCBTqvykZ4afasWrgrxBlvXa8J+hHr6/ZDJTQ -8C5PHfmS3p9MG22GDX2WAIl8uk8U1nbAWHkXrz4068OBm7W1oDY0C1Ljh7EEay/YmGlKQX5bTrUd -QwWOLDzTQO9IgaqJXVcpvCuhNu4W8daWPTN9P4ij0aY+Vig53atgpMvhwsW6bE2QygUyGMbufvZ1 -NF9dNPabLEo7L8jLN/vdkIoe6PDnoxpnq4OASGhmMtHR9tS632E7ARzNdK3rmDQMVyH+P76rLzGW -GxUVydhhWHCtTgOtX9/18DzAwT9LTGIo5BGaFVR+YSvYOYtjYqdZXc4oZ3N14STMnwYhRhBiAONl -LsXgoZQHZDoiXvnNj8P7SYmtGZpvCcq0diwGNeujHdXvc2paEusnr6+k6PQ/OZ/gKxta0KwofeIX -FdQcUc6lArdoXQcHDwo2TBV7910k8HUNNX8IF93yHXLD9XwOliLwR489Cn2j9oz3vi1lLpx3Hjdo -zuGtsCl/q97LckWyqQBJGBgdcq5+mm7uM6Ot+Sc01QgtuS4HNpYhhOvzpBMgmjCSAdiqjZOidwPN -QeIAyM47dHFFta0VQEglVO8Jayc48F9o3MOZHQSMlMYKJyADECOfd0ef/MuTriVcXf24trG/vt+H -GDHyTQymXrRUBfhWleb5NPHvcx4/Vij7Ozl8w/sHmQphPM+HthsGSnaWtACdUVFB++ftlYScdY8V -YawvIWd7a8yiITVC1P+7OneUb6FxAkgLIZ/ZQEcpWfAEF/7YC6eLBuTslJ+ujGGaH06Ohy/NtUn/ -XIgwlpV9SXDtzjRLbqastpoX+W4xGJzGOoV/2Tn3mkyjITu7PQULlvFzozURq0LnpygQ+D7cnhO0 -yh3m3Wy02FwTO239F8xPJ7z8ITK6trkWjCihQIK4My+hK4hyJeSEcT2rN2122gbxAaY8SQWFB5p0 -vnzMXOspAoT5HFexdw4ctfFeE4uYkPubM2kEzfO1BapWhLVxxc0IMIiMDl3opuboCLX63c8/fHR6 -hdHIc5NpwW+AvUttnY+Qmk2znwdjRBMlhsjkc8wdVU0Za6cTgFcNFN6uvwppNQLbT/G17nI68oJs -6vtOoXqNMhUIkkCg6yjXHh9sB7YyoBYf3pjcoslUN9vZTys/eRUHxEHqEw+DhFcyt3La+r7cUbMB -fd2xfvLc8SWuCysjbNnWgNVXmusLNiCyJ/y7uvQSw1Y0iGXdxLKPIGC8HCtR8iBNb87cArWYr9MU -k3INrInwEoOyIFriVgUlZ2KN18ZK2lu0WnC3vi2b4DXstiiKwhmNonnlCJMH6vkKmzFxBaRFszzN -cyB+plAuiZiRS5rP/4/w9ISigB7lRex5WJ1//O3+nHKAIxreJJx9tPs/39STQGoW6qIvMNkjiAe7 -j2LEcuG0Frp3oqDYzQLK+WVRvp2Ox5RBgHNqxCwWqN3ydHr2fx1qNCyClyqycW/p2dY3DxHLqw6V -TWbsoOqIBZQ7JT++rzuBGFR3fN52uVFvxIWAVWzdIcJMEI0238R0efUxTuPr/DkRNm8Km7S5Dq+z -Fjm9ooRylOLiROjkrBVcTKvoD45PJ149z/ZvghOolEhTNTy/HOMXSs91cIpSzJuj5JlL+Q75Pz0K -05vIPdVjhQ7xm5Jfujl5C/heirWC5KmOzTF29XNExnYsy20CUuh/GcNvI/kY7QHtdhOVLx1aFZYa -Qo/+5mYM68l4zNxcUwIMKpFAdOUDZEkZKbgxwfsdchqAWKfQqYOyy/vFUdEYlp0ZfCzCQYbuWetf -A4m0BxinRL1X57GKxtPVg3Oit7KgaLSLzgAbrZkcHbKhy5BHgYQpWJS+bFZArJVRJ+mLY0Tx6cpu -+oFv9v7bhhDP9oijvtwH4Jzq6PqQ7zT0Dwp8nI6hI1z/WA0QsmjFhSsinygy2hQ+KM3Xme3SSnsW -F1QMbHxhvm6RBipfz5ztp23xKAdVGgReZWs5+k0iAnLgZagocFDpoY73HUqfmYjxYEkVHGEPsxJb -ZA83tYLDAeXTRkbwTVN7/4TKTMiPXwrjJ2G7oc7QjFw0NuH7hc5BmGva6K7OvCesNbSTSVhrikom -sqc20d2ALAsTTxoxOb6tDb7PkE+nM2wm252MiOZwZ0VThSRsFn9EFfUHnnpmS1tKcHGAGedWnSME -l6povudRhu1MjTUH69t53ASYOVKdrZCqx840wvacROyZPE8SzStBWKwugq9PcdghDgZyvQpJSVw0 -w2RPWybv+sprFLSM0R7BrgULw75n7PkSe0XNDgPRSj+WMxwnAjKhLJ3Vnjl2O0gQki0aasKOqgLX -iG8xT40KBXckZ78qr7W9q/WiurTkzC6cZeVkhQzuP6FpW9ISmcH1t5RlBpwGoWPwp/6OMv75BUWz -5vScD3FyfZe1dHujVwds3yfjgtguZVbGq+piO+d8kOMju4iqdHioaD4QacevwWVt3qg/1TNbNJ4B -D2yByW5OjfTKiWiIRaXBNmu0klq+kPwDUdZbwOATscz/JNedEPrqlR996f8JczwMCKRIL/ZqHCeS -3JcYFzLRMV7m2YkXTJuX2Ns0fUpY3lChgUXnM5tTJ4gEV8LRZWIcxiVem32ju3WCv5e3fIuTqJSI -4VO9N7vdwANyRzxT5hW41i7mw6p30wr7WyRnpcWRujpb4Mg3jD39J377ec1qPISmwBO+o/mbpm8V -W8ZXxMEazuGv2H7kNqUHr9o0Sqps/yyWQfV+IiYOz+YFotOSgqEN0xAK4AiAn25mepjftr/qKKQK -CTmwfFRtWlEYECJnmiJQ3xz4WU828aKCH8eD62e6vS4Mjybxj0v+gAV5FgXEPQ98m5KAkxNB3hKf -jDiuznaf3/H35Q/IoOPFjXTi17wZtpP7LnninAyrsfCMa+BQtDw93Jsol2R0fHvTcoaeqEJPa0S5 -tl+dbcf0+Koj/F0JlaJ9d70g5ApRkUGBxK/UAM0pWd8hycS8CIeZ263Lq22o0nbDEp24ocV+8uWp -FNSqs9G5u1aSKwnQLzDHdexhVpgyKW3A1w49qfzqQlNqNBGL11PEb5foe1tG3Bxi1Tkq/bF0LfzA -dLUtWyM/NnBvAP7lYm0VPSZ6yjE+5qUezMgqAAWaeKMW/dIEhRv9BsdCcwHgprZ2MRtCVY+r505H -8fYvd30lwRbLMul5kdG39J5hFFucfocns0Md2mK/4HerUzKT6jn8HNqqtFfCrPW8saUjngwQAymV -7f1SQYORO6bPnX/pkSMc/rDXMyABLa9jJiWetMfjI7xKeBIBKlzt0L34HUwmlgIMeA43Ge0Szjaw -N6eBnyyiqVhcp41FDMJdBM3KN31bCPkfIKP9/ofBVpEhl6ghklThaHRXCNDCs6rn7DSbBVkdtN1c -9N+NzgUj44GXq4A/tB8j3WPaXFKg33P1EdbQCJjCdLXfN6+dhTWWgd6AgDConpl6yqt+nDRm2tVD -/Xpo927pShAwUeQ3QgxFLxf0qD+n+Z6+eOTWlPsBSC18f05ajEYlf3CZIGczZn6ZYbEzn5kxYoll -1oHHzN8wr8s4onnN/67eqdEO2yq9l+VJDHWeHbLn74EPVZNnSYVeDR09cR5Elx1ckFXq0RCYJ31P -sE3O5lTcvosD4ygo0VjIZQVKe8I8zEom2f9qzITitdKQa1HgtGh14R4tSCbXREr+fWT8rgjE2Lav -RkWxUovG/b5T+tNggHXKqTtC1utGmk9tmqx1eti9sOTSnVe3PlZ1fxPTiOYldNCA19ABDIxR4JA2 -DlPPsd99dMvT+i+a2sLZoIai26O+rzCEtZO+q+Qbn3Lu7Cbdu4Crt4H6pfoPY60ce5bpnGwou5/u -STQVcj6l8v6e2f91XZD782O77AJ/lhrALH+HTvcPnUB1qng7f8KrYM5Z1EKSdIGDYORdQL+v/Kto -0ob2LhMtzMh/TH6zxGqyXee8ev8R0SjJfMNUnUHZE7y121mIEEH7pxNF5dfh0w0tsD7awRwNFkGZ -cjPD3j86pB7XXP+VWTGJa1tc/kEYBWoHUQCxaF0n6oZ0yFPpabsBnzTNpNMkdjc3r1+AQ06+9FYJ -KDX7G82HYzQlq83NPMFVwQZ32KHnGqoVKr/bv31c9+YwvlUNegKlgzxvaAhTovu1ht4YSBBeT+qE -sHSeJTxb18mMSWkMX6dIrRCmcwUZSHDc2cobmt8kqqjXBsV9/Eu2ThDcMResP3kA/vG13ZKyVr4W -Uz8d4TVma9NigYjPR9Hmu3W+0KCqg52v/+rKei7bNZb8vsyyiZsxGIPONb0/23wqfzIsgdJnQSe2 -iFW+16PEh4DdqjACSYifD7Ve1bljIA3PqUMIYadbblJkItffVc9NT0lT1WD7rg3bb0P7f6R1+eck -aJF1h/HIqnOWqPuS/FxfjqEzTSqRMuLi5F7ny504bM1AHqWVUnfkAKc7045oSyluhNxgJfJjhwao -Gsj17P6Y+W9a/WnYjPACD+pWgmOD8AYjxs95eQMT+aXQkyQmdj5xaMIhDgngO6tcSX/QLPUgwh40 -5wi3+TiR16RufAHAsWzgxtoLpggEu9RIeecz9PxjFcMgqibQv7pdhkdh/QNCpd04mFYYd7eS08M8 -2t03kCosT56qeO7xItBdKw4h7S/wAML2lT3jBs5V14Zt8ucG9lgyuLDmQrZd4jH3H7OeNeC2nV8E -EDYEYJTuYt1CtDTzt4OunJ16eJBV4tc+IiEWh4VniYE4iiY0V4J/Ky7VvhN+VwUNSLPW9swlqAni -d4aVA3pxDrdPVrGDOTzvJ5c+RTR7N3dEV0LXC7UnztrILEvE53jSz9V9wzFiijslkSrODGqFBzRC -+8fFb7xV7+sIR1UysJkMUz7HADXKrb+0E4wrmkX+zjnlGzW/5hrLq/3M4Io7xdCRyNl9Sggjo6WL -gYjtafkqBTP7PDVNjBgSoOsBju/uvuBHl7YLBOZBEzVY4EqrI1nIxy97PGiJxvIaxhzINYWxZMvv -Ofo6L54s8xG+xoZws+R5WeAb+R7pO6YCAI8sPyyKftxIjPB4chchnoQLeuo3cfFSK0IL55tGIuL0 -xz1+gVfqEXAfA2Q+/60sQeOGpiX5Eh8g5bwizSOBft8GhKg8DpJD54V4YNPRlcaADJc9E79xyz0q -32SjGaRT27I0O8KcIKEzAKnrA/JD2n2jKZ3HItPPf2N8dUxk2Ube0Hrvdfz3mnN4NqLZncZ50P8H -OE8vc0SJRuSY/Rct9y9ArN86d3XYNDlV7vpo3xDFR1CQDXPnX2Xa+fBlHqj9rf+ZuiduU5LR3/cC -71oJPUNfU8eSd+WTu5UlgHIZq6stp3bgh7tnHCaaonXFyKIYMe7KGzBbIqdBT+HM3610xIhPuNuo -BaFHjJsg8U6m2DZnt79LlPsCsmBjl+4P02TN2tzMF1gvGRkw8qNeF4D07vIooXmilzCtjKRpecah -Csy/A//HnAxiPeFStYrAswfKhg6tNRTSRd7w2vcm9UbRQti8PCWne06aLTvk2mxYAxa/zDBVlQv+ -CQs6BWHEaQiOxcSrbsObun3cmaBPFwCQP2F+XYGqIkIlwgwgbhzd5WcVP+pzRdTb1o2Osa+oXNpH -WJ0K9Q94XCE4hcUfmad42OxIphsNo1snzcUkIWI3pPNh6/TW/6Hf7r3EgiEVp90Zj3RSiKnWpIIg -yOSfKosUA7Zxwy3VjK6HNPbiP3nwH5EvtCh19qdzogn2bBH/JxuGfMUS3RjJa+SpzG2LJKExDOLq -OOGi3bc5BzG0NScdAgzLqPhyp6wL6Ab2iDbi29HqRjzW398mHBP07o4Du+LRAbQGlo3rfarcR61O -x6AjblTAIcgFRvK0Ni4h9eSfVKMeVm5i5+VaOcExw4FspDuH5r9gOmdwSuvniFRs9UhftRUnjEkF -vhZJtmal1S/z4TiQIVDL5pWhaQWClmyyR4zU57ASk/d0fDmQZCtkbc8BJotrjDjINCGNbLaYfn5L -rPXudFuX9V1JbRK9ZG4gX5bhjYh5+C12q0dQ7Llc6yHeKqyTWhMVJIPifJm4lCYM7R2TwZ3Ijog+ -9t6PTdulrucy++Br5gALhqApkesQdOJaR2kTjBvAjT2Iruk8/ME9jcyRLBTyEmaGnSvK4jUc+6V7 -pEexnQKuTKo1/FA05rC15YwoAYeXLlw5rEnd5dxD+QvBZaP0Sh5JbhWCvzI89ERVVUDILBiO9H0p -PSdLujef5CEd2w+MhU/ZK0TbPV2Lmgc3OYJgnBUiRE8cySTYtR8UhBHXzfQSyoxvwp8+kEl7hhF+ -hVCc7A52Q2UoMIn1dmmf6l+wyeF229aaQ6aozQNvTjyJqLieAPV/JZigodbdCnJ5xdNSbauAZhDG -QcXzoVat6MbHrfxd2YeimYpftHkj6jnmz6jVsDDKBBMi8Hjp13T5NIG85nMlxiZrAJhzVyW8GX+W -OihjXwNv9S4s8NoW8GeyXAR/7fO2SLNpNLquqTVV5MpgU79ngfSKuIRO5JzfWkJuhCsEG3xe2bip -Y35TWywTzJ6FPFumXYRxhJaiJn+FYvQbHAB9PYhhcvuezlGXu/AY5U2d4TAB/ExIVGo3tvlnLvim -t1IWvo/pdHsLbgSM5rOtm75Fsoq7FYnkhOXuKIcI81qtnUBNOU4n1/X2nYzM3aYPDi6+Fd0oe0uM -nb7iyyfjZuqSoZedVqS/s2+SFESqJjMEETrdGRBanJB9bx42BiPesS7cRa4e/H+BSnTCrLG80pQg -bsUG/7QTYxrtgdis95wNU1Ukc7W/6QOOryjXT9+6n4Ui3j+sP97/zU+/x3iyaJneb5CabJUnblnp -Lp6fPfUFSQ+5EzvK4cwemz7gQzX+x5NP5RhVB0KGbOJD6Q9JMDH2nWRZj7h3j259VRK/lrnLhjit -1nsvEPXa1TOtv4SYBu2LIhJ9i8iHbjsR8H13BCzcwjBJpamAIHQWnEDe7QrDuoWQm5N2K61UV5XQ -wWDGGeX9thE8g20/4Q5yI7HcGj/pn48+bEajzIOCFJtAMaN9KIpgESpOIV7LQb8ug/2rvZBelXvF -ax/qExnB8ji2KwEPvLtymITYGAyqCkqkS/vOQ3XlXb7a377JyKn4fhegI0QLFJeLfL6srk2Mdy+f -+GwJEX/HPwtYAjc8w3P6kvDCXFTr5vDh/2MdYAY6OkueZDRCEyIXBJGaiSHEaJv9QIFYXqh/fg/6 -WqsSkq3hI24Ks+VAQ4cWXfXl011F9wJmk5rQKUTIZmdzdNn6yIFw2SsFFFR0FzFMLqA1YeyBd1v/ -So5a6/Qm2SKp4ryLfspyH5cFDURRc2BkY7WSatuVscgycmxM/4janqb4rNkJigH+dtWa9vSMt8jm -ubUhfyKWsra2v66SqmSEFFbf5YvD8FN/NmACEoGqUZGZE86JjbyQ+EI4wF5VrwRwy3erC4iQnw9h -mX0OmjPFXiuRzZZu82cKs2Jfc6JuvKfVc09n65d9SkpjNp8xKyiM3fOcNlWOXkGelGTOi8PjBlnc -tSaUT6iQhg0UeWaOgGv4vDet/hz8ct2vB0iMPbj9/jhmccE38n3zan1BLwxgU9LN/v9gHFo/sVD/ -hsXw35C0dJk5CKGSq/g77b/J6XEY6PeN8qB+W5kpXXBOv9fovQ6cJ4tPqIvRe+YUgvHFbaIfLPx/ -8r7Qq72QFP19Tgcbn9YbQ19i/3COrQaKeIxZehT2O8dwl4lRIVm6gpUJo4Eak0+zeNDUS9H/Asjv -y6oLXc4lABkY6c/+SSC8zKNk/+ewLGpMx2PcHjRJIVnU3mzZ1TvalR/M0tdWSfNwcXE2KSmbOQMh -9M3/tz5aMG7cm3pnFQXIaKjn1ijx3/O6kjaCByuM3qaGssRm3lVPAOSAYDHr/PBlpE89A1HAhqmt -3ApyRAqZ83p620IhOvfjjs82MuTC2FUFd6KH9yHCK41u0GCqsY979G9ClDMTN1r039GQIOhB7Sml -bociDR8158R0l2MqlqShCeY0BFTvVKNgCr6F37vO5a/T24IOpRRKtj19yiQ0CqyVY4O9WbomYtoM -R0p1OVqJ6Y44Shz1S5eATUXBMER2hwovnlF4BAuO17Bo6OQT+mydYRal38v0pIbR+g+l5FWNRcpx -fUPu2uKyl/6fAEe861Nmp/BD+734HIc8P25EJMekNgQlR4r+esVE15Aawm7Ebced3UHcI1vnWaCF -aeDK9+qWLxxxkWmtNfYBULb/r3e/7EL3FDjNBQlxyatSjSXaYnOfFFqy+Y/8MIvkon/HWkokphQT -jiJOyqNtIRZfk9VJCDL+0DU/l2GFUdF5VAEPs6sm4Bgch4GUHc/329P0Asb2HVq2s2xlVpiApYtJ -PLK/952gDWcB+2UKqRGNa8yDwcRKa1/1iRCTgezc0JblWHdQRE4Rbrg5PDk37wrvyTG2p27xN2Ks -yQx6PTn5hsGZD44j5GrIshFikH3BfKvAyrdySOG317WA/8ccPoVFA4H9fOiIpbXj1c5LZ4JWF10t -4JBi5uqQRoHOHSoTJJ9hmNHiKI56geqTyzOQ6amQuR1nPKovS+6ZpCeKr9snFTQnX7Sj/I8QSY5m -/dKnRxpaLOypbhXZXMTOsyxNpXR2ktjYubUTPZziAO/LB/fWTMcBSSf39BEfI2bazg0gYYDn1C31 -SZLwfTbKxMxW2GSDKby66GJPap2yKEO/rytPriu5fsvzVb6cwgiFsNd8CLAdy1J+c1USWrOoGsGS -ET/KKA6NZe4VIjOUTMohpRtp2FzKKHnEygAXnojjnETgbZXV76uwjYeAsSKmXWTdzFv0NSELEQ+2 -qEwqb4lgZhRrKABqApY4C/iUcGdb/PeGpeiIsxAX0eHoTrgF2BEuHID8miGUKAadzW9p8NXfDrhi -29iyzFcHL/Bg+1kp0uCmCQw7ExRDy7pXMUh5zsD24DIsvHBy9tydKEXZqqANowy5cxavq+2+f6zl -KA0Jey5nl8wGWrEiJKa4oFwA6CwzQLKNZf7xUKn7Ff5ma0CbJ/49qr9qSXp7csHS7+/qOVrVTQjW -GfxrxLE/rGh+4lWxno5fuj/ojNuTWaX5gTVAXeF7wW/i8S/pBynstTqzIQqSvRdzJ9fnVjGDQnZn -JxxU9zuvtq4NXQDyLKHkIEyLLkvdzsKeYLzb0tWUCQnsvfOOQwoqYP3y2vkrruASL7XPxoVI8k6h -a5nFWiCJI161+K9whmM07jTGlIikt6loA9Jb1In0XqNtU6NBIY6fk3N0ugjpU8ARKQYNefJQ7Jju -8bjBpmUixCjm+mT8MFIVWtcYbA0U00IlVnFiScMrde8cYoFXNuspzh/8WI8qXlT0agbW8JdPuVmO -bk98Btxdo0fLWQcbIZbG5vdeETMtxrLJNiz686a91WIi0l2agmPuHbuekR0xQk4MGcKPP+Mh2pJD -pf5wiA5OtE+BOOLkGE9LpXJpq4hm0ETLwYu4+/GGUriuOL0r5RfSefdzpo9BAUj3/i1FvzLx3eBN -7NSLB6CxDllMsO3nE8gjt157/tPB+INgcpMDBuMJL/4do52ZElCRh3eDZwbqehFPcl1AHtzFwyzf -NPpJSkTaEJC5H9dMdEz2WACDu+vvWeDRncpZfnnOTrdQmg8UGCNK/DCvrrwCwX59Xl9xbTYxpqjF -ym+iY2DLv6EKM6hi1jowht23lCFXHI6cM7gfAzYOvZEoKL87LgDKd+PFCp9XLjKVtUbCnvdVKap4 -X2U/dHCk2tT4koADTLT8sEcunl1R8ztv16ZmaybkfgbKR35qBmJ7b3hIKGeQg/0Zy06Kbxapt58d -vrHC1cotLvq08u/1yGZHw7u08giDKrZzofLGEsjOWJBAKu9fPNkuQCfTunlD6J7Q3XvFnnHswhMZ -JhxueCHWuUg6Xmf2P/5gWxiMCqhvdUIdoluXV4KUUA5x+YEZ7JlhPsIS0l75Otwm1OvTzZHUfGoW -nXohwNeBOz0lQWzZHcjP9S1ckSqiZf/rj56Ffq/IFFfx+1vLx9M64s6D268+vmhQXmiuKfxiaaMc -4kv5EvsZEoY2n4xcD4fXqcTXPZwiuHbZYfIfnkaqCMchbsUpDEqbv6ZrommJctVCx8IRV86aRhG0 -2LHkwENRN8DITFyYWRDYbdxXapKRGS8R9vEdiCweXql2CGWhW3b83czJw34h/DQTWUEunLy8Cvjb -3ujuHHbWVwtW6Np4lRn4pCOfbbnXjY5lm5W1C0E16zHVliQjwn5RuTCv4Wm6Xi6MLJc/hfl4FZQE -+1QRt1ZkuKqcq7c7LWmdIeWhDBRlrbE81d6AjpkWxt3f3/jPND2O1ZqGnmL0LPiwqjoLD2RZGTTY -qyV01yMrdbD+QrvIoBh81NYGUTvQj0Cw4mxCN3aPYQIgaDSyu1WCfCE6hfOP4trFEar6z+QEpuu5 -zM4vnqPBlwU1cjfv149rvJBfyXHzRR5jsGf6g1KXMmZEc+MNtcXE42XHV7LiNFhuBJGs8UzCzuGW -tYVQPPXgulD/+dk5myddsZH3ZtbJSQasmvK1hGPCGebB7WerJVC6iXUReLpSF/BFzTRbBIn62rMl -qjoawgUuTkf7Bqk0YnJzi3NzEjpPeMppSvY4YVwe0oJ/j/DtSFE1R75m8PmdOxaLdaM+tx5Q/VD8 -sPF0Qez6E9tUWyhvF8XuyZDzKaNUQNxuj/hfgCJPwjidtTTYkAcn3vAXHj9h3Vv2Y1lXI6H84XGL -ZI8RAk9t8fcbwQZg1Xgmnj6TvxSfgylTVGFlCsL5Qk/BoCb57lnn2zE5CEGEAVb6W32fz7NE/Zlm -t6QyxYA/9P6o5gi48qmds3fA0NDnDQ8sqJDe0Nc531v5c0Y3SdNj7Ebcfk8YLRjYOsLcsF/xnR3Y -HvfoebsGyvii5vWmt+Zblpwldn8z1bsEQB8hygBonA8jtdnmQG/N5cT7E+GlIRneQECx9MFAHhWc -UFkauSWUIV0IGYuCKgrNPFtZwVy6qMGabMKOkXz22heF1E7VMBJvbrgKtHh2rGk8sMWDt3p99u+g -98tkoAgXqsbED5zEnHxivY9urcGXL6Saxgb6DyGeTnRLDzs6Kh7Ojg87rFNLb7zvwd8/7gj/6tc8 -VPBjCbR9KVtDlI9NqVrRkB8mFJaw7AkuZBsbH/mIgu6yKdBnUj/r0+UgCu/pJsEIuzXTEdar3bHw -qaiQxeObIbYTomjiW6A8bQHmldfjNd72JJ3Ipgf5OqmKU/sv+JNlF5evI7iG0tHp/T4w38R2QR1f -VRpqDbaaEP5fbXvV1bKJ2WB2jD6k1VKp7Krt1DlJ03uUW1PuR2Wiwt/drlvZNmVxnwwutEj98NnA -z0VcFBgz96G7gdz6SPVwTLyiLDjDb5WmE7gi2O7jJaffGn1GxdGiTV2eGgvKeSqFyUScU0/00Byj -PBIVlFdBjTnYl/H1ifl9ICvx+Ifr0VXwA9zJkUkrEXba5V0mnBUFQjPSTSh1B1uURbJ/JuwIMxTg -EYflDO4sV43Sps0/D36Unq0oOltJahtDTbTcaeojAbYNFx9POi4/UpaCleqreF9lvu8UEpQoZfvP -x5Jv0wZy8HbXDeIWMiLeSr1qgRDtK3v0qQslLAkAqgKfBYYXSuHfO85cTwXhSRlzaQ2Rw8KYZoDk -rZrI0WujDs/V+fkxCFSys3Ky8Wyt1FWq/pgEiT5fMB/YYQns7yOwql6Cpr5J+y/cmLIumQhI5sxh -9CSsOvLM8Id13kawfX8pMgusyu67JBe5LCSIKSHXUlQcl/8F/knUgzzEsoeB92rOCYSTTJL5cQ6x -m6Bkpzv9LrdoNWHAlZsa2/dTPjqn66O3cQ6XqLDYvPszZB9UttywcY37Fhf4867oVyLGfP4Clyr6 -Vr2YpXKwdWqZJ8G8XoKVDzMIL55P9UlrnYIVy6N/6NkzD7+AEnxOGbWlOuiqj2tcJQereHhI/3l9 -mYfNQGXfegvig+wqOaJI3wOA9xDlC572EVkLxPtS3d/Q1NjsJbNpRN8zNjZa5J+zOEDXnIPNc8jL -btjXEM0PKIJ//0dSHUdhxtF2wBCfYgmw7wO4ZpF/ZKpIVwIJl8Frc9UzYxGimsaDzBJ99Q3CS6tR -yel6uDesf/OQMbZFyR/DBeMsR3jUe5TxLDaAVDJ7zM5zMCwVFhW11J0g9KXjEXBj5K6hbNmrgSdF -y9aezRlfpX2NSr5+avBeX+xHgD/ymBQGxFIrqAA51cUU7+9rwUMjszjbiF2n4l8ccC5w/GWM7E8S -Hzq/dj9JJLDX4Opl9IKktyUQ/cGTp6r4qMj3rfCHmh7aUb5K7QMFD9Vu6FwPStYWFjqBGwRRo3Dn -RxNOrevQBfsvnm72zqWCYKS/EmfTbUet1VriA4+7Qnx/8Ygqxtotyv41nUTSyX3KKgLs61i83q/F -oZMtfBTAslCDzmGCJcSs39QSb1u/yT6bctoirbZeb06q5czAAEmBQ3UFJ4jhbQNnajfjpWY3hGvu -QiXNINOfjeXebNx+2KI+usCyb/ssnevrpUjhcLlXGR95DkxYVFx9UCpLOFUwWt7g10xJFZSaLYCl -5Kl1LjbpVRWOEYwJ5WsHaFxuluVm9R9yBo+7wOQwrFMco7yqrvKQ9h9MgsAnsIrZEWKZwv7V5KUc -5WcGCIlkEAPJVKE0eiU7qOn041pswz9cNPdJQxTe4CMJFdUVzotOGG/Bmt2QhEkco1zInccx4d8z -GmwgwEiEXNVA8dhYtyN6yWwFYcrHzRB1CodbldrPi01sKh7/VNnNW8NZg8+b1u/3XdNww+UC+dFm -g32lvN5Cgs7M2QEO5fL6dTq6kYmnWS5Cmye+KVeyhuDOza5JRYoJD7NOpjqMXQK2R8PfLVXWHcDY -mXD9uLJWz0E0mLSwe8BwusECVrM4PdAOTUnGQuuAVfmWFCq6ZSsDRiQKnX6GJOXkQVW8mxnF1IaV -uOb2cRn0CL6uiGFiGMWBV++HcbcsXHoSJ+5nqghEi93J/XziExggkHALj8A0p6cH5OLsBeuSbJq6 -UxqDmjGj6IRq0HaXMPEXLVt+mhJeoEeMzsKz2Ue5GMY2HNhz7kZanW3O/Rx+p6zfOUR19vWR7aow -pVAMWuGXfz2lm66Joo7RXQprgi30HumeGWoUNbUMzCUSKpbQ9Q2iCT0QNXC/yWo++bmdZ19Bl3/3 -/2jRq68E0Io6EzuQwCevUnX1yrnAhF63g5xiTY3qhyfKOwWqTeA2N9h1cZT102v4kpbjzDQFB/06 -9Txt5dNxL2enp1aG4FKmuXd/y7VgPvOXdRUESOilW2iXjx2gLZzne5Rc9p/Fb6qMXFXWzfqiBqAd -r2x/keNLBfK071/zeifPN7fg7+B466V/ICh+ZSnJso74BwbqYUNmBdxEdI5lFiLTUGUrKPSSz4rP -SpSrp5BSJOauH1agl3+B40ZN+2xt8uk6kvs0M6V3vjk6DfhkZEXNt5eTZz2zUM7wcGpac/PXqk26 -nbvpnpj3VB5zqy86dJVy4Cie9qBDkDLaWd0E4TV665rYYsFpm2RUyHLW9MN97c8xmxdfBk5+5B8O -ntK2pwM6F4mVqHa+F00gyah3yh8l4kFufM12ipm5Tf37Cj/SJrAcQLCWi1ke6ep7IO2pqB+us1/q -yux+6a8b5o39BmvnZOIqq+6cDnThrw+87RDn8LIrGl5JyP4//a8afq4+cEL/0HS9IN1OSzFbxS+N -5Ke5OWkSCzNijZnIpyqVfSBy6nmG7NEIpA8yjTi+Hs+67bBOU7mOuMa04oVrnSXREh7w19FfJCJx -vdESiX096DZ6m7s1c75WVQgcfwgjpv7KOzVbGITBgeytBaXHFBE6Qd4LLc9cWq/wnTY6cOmxL96A -9qhfMvH5dd4kr50x4rG0gmmZZgJfQsoL0TM+uG49Ynyj4RKg3o/XLW1qVuIIyP1etvk1H9WsticA -T4zxSXmCqzfHH4WAcBDtyAjDN3L9o3C4lQP7dQOn1AHAS40yEhZqXicWpG6cFQI9vrj5G7LxZIgp -WDTB3XtKSaEjLSDtzOVcfY4r5+Ze5DzutdkXVgY/RXz8MepteLjv0JCW/SPqMQZ8PPCqNV4gUtEv -P92T2hw9hu6rptgiCd6d8443M6x45VKkddV2uTID58eVM41absShhERv6IX4e+A8G6+mOf8Efk6o -bB0MMofvxwgd8QLU//x08B1/UWY9Ia0/2mxrh6HuZbrGZ32wfGw35kPk1zfui/VnhBFuR7H1RMl5 -qfhJu904dmvvZokEQpC2SL8APCFIni686gZRsS55qpQWMCdwaKz6Qz18HljHNahtQQ4oZQPCj12C -bBop3RHkF2/lYU4YnRXxd/rQDouqmyhwVLQl2X2B8b4vbW2G6aNx3oguBA1dbOSejha+jcQp+Y6I -i/JBVqIMPIBipg+nYQLBa+CdxQcg9xUSXI+ppX/kaCEWcY0t/rLDB2sk5D8c6BZlT0G6ckPzLn8X -b+xwY/yne5ksGWUCP8xnd7zqGeWQ1wED02Wq5xSxMMtQk4V1RNUt8qrXau+USdmMM+TbeLFxA6qf -TzHhttnLre5Q+NdIIJGR1eQdU4SCAkt+2IR1E1r8L7XqdBwPAVb7c5QBZcjdXo/rMo6E6rpS9OMs -Iq0iSCmAt7LPoGRm987T9eVtnSedK0sCzUQeflTW3V3gs8EwuWBCmZrVQSsdoq2YTd/ROy1Ik99R -iX/gGNjFhIjvtopYAo3uT9FQrzGBFhAtDzRL40bT39PKIXG1p34KDsUe4TPjdk46YH2CMlBxsV8G -yELKEqHvu3JP/Hw/5C2Lhcu1FELvg7HWyHqkGLQWZaYEaPYmZ76DsKIkFRflVTfv+Cu8kOMTEeYu -+lurp83VLuh/5+y50+8HnXblug8pqd70zCb6yaIKsz6slU8X3H7iVLU0RXIEwNs/rD6X1wzmw5Nu -egMnL9GLJSVW3ucOlZ/sgtXDBIcdvnxs+mjwLSF8KS/o7WY6x7kQIYGGkNCrwb9owUZrpSqCxpkJ -IRCLMG7sO7b6gEvP2GdmX3pWzYNGWc7g0dvnH8HElLKmGd6Mw3xroCzZK+GU5Yoqjp2mC8leRtZF -Tr9jvjyvdB0zZHn6UhRxQHyirjk4PQTaIjxAB6Tb61vLLwcB2BQCgbWU7E6QAacvsKyucHQJetqm -y0vgLtoiJ2A64O0x8WAywTu6z3M/1opOYxfM9dwM/F5MYMn1QHH9Dt2sKG8T84wjYN9TcvVh/GPb -k01S3fhzwivEndenymSOhifZbtKae+o6VLO8R3/XnQGh07F3n6tUjOq3VSZ9e1nePiGQRboK4emW -6MqrKMndJu973n+SRGNpuJZKJdi6c7XtxmqgUgGBA73Yt4nWFMM65Aj7SJ7MW5B8VXlKD9zP/B8o -KPPfXcSJWVU6rofploM73nTVU8odqcXWm2bJ+pwLhNTrOuBCK3DwbQ0kwtIvrl6JY+HA4PRkNLDu -C3AuTG0YfE6oa6KfAWmtVg0p7ZmUXzpgTP+xzX7A3QKWIq1Az0Q8cW8Zg2D3oAKGOdUrd7nR2a6G -mJn/VunF4IjZFUs4Y5k1cWPjovm36R3Z8iv0ifCQTmog4G4FoAoV7C7ATcb8B56V5f7RRnjhtaND -tlP3cQlZHPqbuf8MPR/RvOYGkjGo1ALorncl9RtCpN7sxz+cnSgGcGStLUCvJlNrnElGLU2OfC1e -HuTIj27txlqXD00ifjpM8QdbRvUKplb/rGyXqwyLPyH4x6Jn8xbVJcrLPMjvM+eX6UHpxEvclg1x -WSTGdxPTX6RjQE/EPnDCWGyTU8Q7avihrJ/wsEPTvuA10qg/BePoSKw4PF6Lc8P2zN+sPYCEhGwb -yZEWlT+C3P4q5gGYQ3EnW66noWeG6JMg0QooxBu5RvCySvrHFzKVUUuWVKorwrLAetxAjCE75w5H -Ke03y0W+wzq/xcDBrYT0+R1RBcQoPXd2FBAQuh17hWXfczdGbHZsbr1ry0vCr7MSIwKvKwMoxtMI -RaioPzkFlfWIv+BYaSU/i8KAAbjGRQItqtI9KgE5FHOyLJ1zh5mTuxspQvQ3nFxBwZwh28tAH3Xi -jK960mfSIkCG5/X1haNhTgRy1AS24awLfje58cQBzAdxB1tXShSHptMJcQstu4RhqbJ5xGYyS17h -OBhQFQJL/5w0yDvgmqUzR49ENjX/vGzqk1AWAY/CEQztQ/gHd377Gv0VmM+nQr7yqqnbxdSZHYx7 -J8joe+5z4stDXq3MNxWvarn2yukLoonegvLcyInUYIqF6babGiqbZbPdYGNSNbkleYNuwsswB+E0 -ED74UGJSxNB+wwUMAVTcPGcfpodOjnA9VQluEkZH8OPJn7njV2FTr4jsi+dnSqG/hlOPn13A9VxS -yN4Dc31IpXvSqfBGktxvmo8KyIHbsZhcJj2bjgX8X86C+QwxzeZMbC9UcUPCB+Ubtt0W+YZSnKpY -HwOCkTnu7PQVpF/6pxwwOMzMke1J35iRHsD0200HnlcDZPW0tSsU9fbQUJmNcQZaZK9yO8lgxv0r -LXkM+ijAGOBKpz3VnJxo5GNQ22fxQBC0lBQnBjSePGmb6j+4W1qdc+WCGsYJpBjZgCrmxkxcKmLB -bXoR9sLtKYsJhAAxFKkC3COF8mjrzXoiixs1SEZICt9FxiuHTmTwLB8lqzGiu5Cc+WMYyPNkBesf -z3WbzeZ4Hm8wamsm6Q5CNoWIQSgL6MXyMhL7Ux4qNEZ+7iLJGDvW8ssmcWYfzYyPb8wQOpfsBdow -Dce/VvkXDMrZ1frkkzZ9rqScS6IlKfLLE2r3bNd4d2WBznXAfTvuInkU7fLGCwicnJoZWe8NhdLD -KWDEwLiGNd+JPCRaB+w7Tuj4fgEC40BLoP9maANYAuFyRzbeNOlUavhnlEcFfCg/08KFFJ8vraGC -+Oi4ifbK0J2lX+PaLgjbcx7h+zhP2jmny7qzoNG4IuAEhXuOu+zKM7brc+sLSJQ3iXDriPhF7zA7 -5tqYT8BvuK6kDkczHKu3osTTm4Vqh8Ty7EjMFEyWnCkIM2le7bOvJAHkI3HuUvnazzQs3IPLyk6i -C6gTaZ7amzn6BYg3/fMZjPWzQ/qrwb2b0ytfPc54zcZPvGGWc2DIYpL/4fYBV02O/6Qm5/1PGAEN -58vBhZHYbJaQU4MHoc4tmCeGjFGTON6/abG+8mY5tt9xDxMLzI6IcSHnAX4QgcmkOUX+aIzLxH0U -Lo8k7xKcDwcMbDi0p/K3rp1WCrgrZcnGY6frh3aXLid4CNn8ktvVm5rZ3cCU3Pi/bvL5TFWyUrpb -3B+P6lCWPnh/TU37rRK6dd2IXvFGyd89+wKj4ZbTSYNnjILGHZKPW5y1TX63j+pPebOYNmejzPUP -+DY3AWonwaUgxdztqIKjd46uxNMFPT6gewDOFiOiheUHVIt7a5BD9+QVNl97BD3miHMLCW6O1w+g -eiYSBWB6/XUboR178BleFH8n9lCKEkNcgxqYYjdPZgkKdkNS/bD4ar1bQNU4kUkkmr7DyHKj4mzn -PUcZpu938xMm57JBuZPaRSJn9587BIMMrt1QmDoHJWS+jB8iVPVE093DdYQRZ7MlcWPFtr5M0UGV -LfHCNpSJI6vk1GY2LsJxtOJ0S94o47rMmEw3E8A/x3waSafYFgtiukmborw+ugHfgg1B1Q1HPCZ6 -ZJEzBW+QN4kDv8Tf4kf1hR0b5vn1zJecxkQw/gJUWqWX6EC9seEIJj0V//SAT/0oxh/XIZ9mkro9 -WkJtKAXMnUM+CNEbMjIHdGRp2Qw9HG8g/qMwBYpNwMKDMf7IOTgpw/99vGkBx66DNx3Px9VEN4ru -JUzkzMZCFNPgWXuLAXJX/FQWZeF20X6S9umEdA/R7tc2KVl3O0+2NhnButAG1r1Gn/gS8j0fTBln -Vet9o5wOt8ePmmK4vy2NA+pEk2aYpPuQB1ywQWz2UL8G/xlHDGeA/wT/X/R6pAZnWO5L0epil1S1 -jt6uKWYmzM4F47Sp3fdnH4kGa3CzfLkLrX45P2mp5Fb3hs+1AxbR2UpGygmNuhbzIPAcEv9u8Dzj -7N7rlBfWRBCvI+AmsRCpo1wiyaRzclR/jakCmpV30fAWbgj6H6MiEFHQEypf4qMznWx80O6U+inb -9VuYwJDuIRhtMimJdsyJZYg3wrz8g6OkhCvTTyMf3MSEcsglJJfHg6MNUvI38oylUWZrM8pBI6RB -oJsUfWJ2VBLh9Z4eNB2K29Rt6bKuf7ffIdr2rQFyjOgC33kqXhh3yIR1Zi73mbVxoOzw0ZB4a0fc -IY9C0d1WN/8VDggtvkkND4w3A7vzfwjOEJhq1s3XAW2YauEXCNG02IYBI4xqK4ZXZUpGK+LUqZ3e -Ai8cKr9GnftrpAm55s0QaRnL+rTS5bcV9NFlAq6U+k/gFRsq7kXaJ/weRTb6/zzmvTBZXlPHWEq0 -jTSv0una65POqdUAcujOtDM5MSQ5x8G/PuvLM4Ij9+onmQHn1PICBjlCKVMi5PQrIbYC05e+HvD8 -rfBsC4CZDv1S2t2JUQs0YuqazKovFlNxARx8TC6a+2bY4k7KndFMiL1E3Iuw1bZSE6KyjAPcJa4m -eMBNHHtuytMM85DQmyxrL7J8NgboWeVUAjAaqTtiVM2Wm4zNLhRO4B0Sf5cI6DrXEt0MpOZrZZDV -WgzeaN4AR1tA1kQ6GAubTOcGNFF5qmctKoYg7IOawE9DnaTW8DIpMx6QF2U46L/Q1xihJO56qVki -9g8ky3W7Wki841VbNXO8pAOA0ezBUgZUzi0HtNLn7xReVZs795lZSHyooCW8hL1eetwOs9kiYMql -mnsVYW73v/6M2PSPj2/gqWj6tNmUzIJMK5GNB8f4hVg9um1MmKUMMeug4jPcuoL/WMUYZD5MMNWP -UW3pBuC0yrhJwVBtgtwmIQMXDEnU7N2G0gz+YqPgr1bzTUUEaaWVzyyGAL4znOrJpwnrsmiefiWK -GwOuSwOgQGbBV/9QlHVGJ8+y9OM0Dx177DSrbL+Wg8JxQtsa/L5Z1WpAkrlytNZHew0MUn9ukuyh -VbLdeznBtIG5TvoRFtdrwlGj8v13wCgPdHgf4AqG8zDsVzD4eGyNT2T3OaGHbykXoJBOOYQuy6Bu -QOGBG4fQZGIuw2O5BIjvTa6QOewPZku7PvrY/tcVkGH7LhfPNORerU9q8IivhMDTs5nORQl/l1GA -pAz4VLU4IygXNKR5JBeK0C2URozffoJ8kJ+z8PbSxsjyOu62AGr+h6rL7VjXiH4MS6FEYuQkY0Wt -vWFxkcgYicR/5XlRayLBQwvm/awjhTErEa4c/6Xsu+sHTPNCEuusn4OTCo9nqHKnOR3HRH3Eg7e8 -OIP+dlg+8hCsydvyoe/xpx6VibcxQKzqWdvpqf+vKk+Z1XBjwtYRvALXh0TLymaQYhFuINmz4uY/ -XRn7gdAfyOy5NBf8YbGeQFXXqxu/ZjmNFuN+vDGQim9O411i4Q7pZ0+1dJ+jXm/hFuxpAR9jfrLX -++b/WUl6m/qlJAZ9QyGL/SvXY1kSYSowyPAQR6NJfOEkBvt6CHO/XkwKzE7C6Skyvn58hefCFalD -NNOFG8Noz7rn1weGWkYqT0Oqu1YBFKFJ4H9kBAFaZ+k3DaWr4xnSSTp1a5LyVymjo5yEMkdgp1pg -Y+dzH03mGlZKQITtDhrO/4Yo2fYi0Rb6xZXabhUY+0G+udkO+Erc81tgkt4Jq6xKDKrg7GoTDl2s -fKw72QTV7lieexdBwKDxEgc5RQ+iKnGpAQm3KwRBSvaxdEhkFoYh9NyGhu4BFshBe74iaTNF873I -GzbgOJojA48cUa8yLXAyJ4fZb/M0T0ZQigXZMTZaLQhaWZABuA7SUJVLJzNma097o5SXYV5GWezD -n5pAaWGkR90vrOfYoTjCdMJFTifiVEK2CyjH4yqXfDwyioEH0ciVnM9upntqGIKPHji0hMDA4o1R -64+XomDY/U226rgcVnTMQdr5LuijmwjL8ovaXGZX7eCnGCIjaSAvMONMLOWWJcM0U/KpzJUH/kfi -+IdvclYSugfSNWUIMPQqs4cfoPyI1qkBxXiEFRWhgey2VjURDRpxWT2+JzuLACe5Xy5JnhVxAhin -bX+0c6I8Lu/o5eK/Yan2AAuKw0do0eL0BIBxmrm4dHZOsfS+R53SoHtZk/vmA0scdlfE8v86+uSB -HVE+aDSNL/Xgfb+cGUl6FOXdlbDUWSodZLGiuin0RwmGkb39vgZcJXE1zwwN4+FZ56mGbTunTM3I -GBB8eZXxksuMpUmZUcWICZbwZdYQVAtxsaBg8CNOZ6kboyIZIHb0XGwiwClqJncQSRJi4+S3MWNE -R9icxJGVTVfzgiixEWiVZfXNuKVJEFAeArVhXrboc3lRwu2DtpUZiL6flmDcwDkxuFAH2o/X2Xio -Q8c9dARk2/EZX2GFbPYl46USesho0JIbQ60OSNouLZ0hPR2oMLCOurknPVUaaIbJdKGW3LA7L48/ -8/B/ejEtzI2K8dxoIKb8ASZNEn6TpQUP1JMPciZ4n03tCy0cdNF8jnJakmHT00icx8QzCDhcbKM3 -zj5DjJ4EPuM/sTD0H6j/6oLDemOZfc+VnVEn3j1jm79i5Ro7DCfYIW+Ir6iHL2kPeSqRbz4ujJDg -fqfdN9ElT9Qj7DoigDNF79AKQV5dZrFtoG9D/FrJ5VxxG9l1olct4Fb4x6DHO4YyvCLAFO0CF9AB -k1e0tYMEeMzR6/oYQ5iKtwwesXG/cXKmNimfzkdCrS6aZVPo5EEp0Sn9HT74nUMD7NFNLksPUusK -yVgMb8cdpoobPhul+9a87ucUhR37keMVbsXPehePnBhULLOrKu3XpRXOJf1l2c1mqR9/hOqbcJgf -55S7xVzLugCacYPUDKkPM7F/aNtUiLg8I3nlB3UfiAX+jj3LPOYOIfyEF1BKA187j5HMyZSYlnau -3UhjOjTLgTnIQGGGs0RcBojvR2ghNdjI6vP4GJqGPBcU1gcc5ZCMVRmz6VeeYB4wcmpPG4FuXpCs -f59FH3FKsb0ONUPP3alKsYUkFCmQfZY6SqcJAc7hYFp8vz8XQJexL/9sKTqFKkY1lxPfms8XC0An -0plm+ZJ8I5X8K5c4jZ86AMP8G3b0JyCfNPMi87cC4wPpJVtou4OuzN7iEwHC5UwofcquzjWccOn9 -mpEjyYLc0J7PPYBTtJUtXxmTNCKPvfKHpzvvU/uaNJabQVb3lGBxwXm6ZngH17k2obeD10oVXEw4 -kBjOw8Lr7j6cZO0vr9yQPY9G2TY20PelZimV4lpQnwwCK8gj5k012uU1IroNAsRFFxmq6rq2/PIS -AHcuoE/WY1czSRxRnxKuei0wVqkR5MsOYyJF26sO1ygvuW4w5UECJmXWvwwN9fotX41mYWAt6zlt -Ky/Ye+UKnVUQoZ2riNK2bxlBRzhlS2xjvRxTS5Sh1S0xk+Gyhacqv0cSA4v9JTnjsBhOP4Alovre -OYZCA/enjkGs8lvuUBgOnD8elSM8jM2dpJB2PZDNzgwqBmtT8MpgdvPnVLub7puxofwap9R7RMSj -43w8ZDZTIsp5ywmoYaMFm9VoH9gaMi25MpW0PfyOdaEczXBHCjkgk1Vg4VIRO2sPXEP7WxPNhTT8 -+DZdIuu19+sbFkoJlLscm7fzeeTRu009ShCZp17EQzZ0BsbJ4qbwhIKumZ3DfZKzLCDmOtvqska3 -XtRknEOK59sdQFS3WXc6TPmqo2id4IRLYMGM3Y1lDITwfeIITi+4OTC7a1nQ8r4n09obP1MWEXEM -txv6wx9HgDHWeKMdb84/f4UpoI23Iy1T75MjhmTV5ZZnOdcSkzwd79zNChyhLxfiVlNmS9btt+32 -/kHCNA27FbVFMJhnMxrv3WwFzTTmD0eSlQY7W2nhgpFnLqk1ipMly5/yYDprBxEan4HtoEi13jiJ -GF9jmWE5lrpp0cjD0iiDgJjBYJn63435W3Qr0HXytNbkummPrx7pw4+oG7iVf9xDF8U/lAFRmWRe -So/e3P3K8N1RMnTZFdjwOdGAFzossm4T7NkfQfmnORKETjI2m7ryPSr0wlzEIKwTKo1zv3oVQrQr -c5gXK5qUMgWmYnpquAQssAQETKqgsxxV/OhZY6OEPXqKHiMniQkCdf3dig7X6pOu2Ja09aRWnWKR -djB15dZiNEiuE9A0dntuXDnzH1hkv1DzPaWurFFDmU9o9Z463w6Zp5QABoqwSuY6iF38Fr6wilK3 -ZjOJ6HCVLqYqPBPNINDjZ8NoUONzirT/88v1n2wyBh2GYvdDCdbApprtF9LSw+VCTGFEW78Heapm -Mbpxc3bfB3THpFBrLva5bE6hWg1NkPAhbxXyoxd0aCtXRiAEuaCy7MK5hKmFLLy4boJzKVWeq4XB -QIhJfeJScyZXSEbWsJWK37lMW8YAoFFhJVtf4vLO1fT06+PaVdAN+44k3ceqIIpChMAOhab1oFse -NB+gZ1dGKMMEw54RJdWUdB82Uw5ghPsuVHZcDoiAD2OUPZKgwGKTNX////rggNDC36QsWqx/jcPK -TxhR1mR8Lf58kUgaFgV8s2YWv7RZaXaUgIb692SKEKXCx1Z5+Gn2nSvCljYhlU2ZNeBGBiSnxPKX -9QqSD52to1lCh9UsFhn7cmz3CRwWBfOpyC3dAXd9JsbM+s6xChQywlgCaH6GNMNwmrOLs273r6Cw -CpnAcV529i3GTigEmhxS8t7pSgR8dfOH1ZTonyA3E+mrSJjiyXToaavBCn1tDw5qwnfQl1NucB5H -GQxWV6snz7U6eBDLBunrTBDVXwEUZNGEHKNnYgGEWjbGt4kuDYpgc7m0zAlx+vlprWzYPCFfCjhM -mR+WXl14MK4Y+ieP0oKEuHhx1KLIPW+QYkE52AKDE6hqAxcfU8+RvQmNyk1dcHQgHX9Ii0SDYHAB -HtpRKNxMODp6Sn24GyQWE0amY8rbp+1rPQJu0K8Kl4+8g7xceAegrCsZ6LozyJbTrM6KYQ11cyv0 -l9YNn3Lwc5qVwlAOst6huZY2kfFdNA0nxq5roBWNBRcqOS5hK1TC8UOtIoggXPATrCAX3fWfLYHO -NYq3ZSvulamZ/Q9S6DXzVkNWAnE6ULusqALb8CJrBuh6RlRJejRckUtwfJOROenbL+KixueJnrLt -6pojd/lISknGHZ0eJBr2Y4FQ4slGIx7XtK0oZ86t4PBtY9vL26Gtw4NeKbI852NUcIsot8w7Fr3u -eT8SQp7k+kGZMHbUqekQh0Y/NpTWck/bwZcWOf5VPHQwKbPmFGzcDSd0n+/TLbxuEufXMdY4Mwtq -MtCSHpFpd1JTNyqzzMheXjrUvL/+WclwRN/x6u1fedJmOWv3uh1w21c+aggNPZPs+OkSkoq3Zybi -b1rNlfNd6yHKbA2bj1wqYJ1NSr+m/XAUHdubjiywOqnWJSZyTOiiOjFGNLRTytZqusIWLAUKNxCd -sZ01JehHVP7XXmrdAxSTtNtRUzpNDY6gL+SgaGiwGHGiwu4PEw3slC4oueW0+7w2+iOv5CqijaHG -6ZEFkvdWPlk4H7DeD9jt1ciJ+5Gx/w389kylTsPojLmvMOh6rRG9liyVCfbx8PlwBllNyLiBhMiP -2KBaNGlNYe/wKzzjD5k8e8bsnfpXrLHrP/De5OH3lBig4koL/MkAU44vE5S3IZ3laHcqkNy9dpgo -OFKfA93QfWxvFLbFfD8KXi5PBCh9aRQNC7mDVsMZt8IJ7/OpBpcT5wYxkHIqJVXIT1sWalgtf+ZR -rny9jLb8uPVdCwt5zIdU/OcIlWXL1Q+3kQxysV2rkTeo64Ajk/KCkoYk7HsFol7iKL85rnmYnSmo -k/kektKSI52m6kg/kimZM8DbQdBYWVuOtUX7E1Hd+0NwNoru0a5QUL5Qh1UZQ+fGSNuUscbukZVl -fkb5IdSnzCc4c+KNUFXJD1nnY3vE4hk4rwTlEf4othtmhnpvY5hsMolM0ZZogmnTeZzzkAWL+L38 -BGirVydgd31LrAQ/tDckkUJYndjjDVzz7MRT1GP3V2mtPK/jux1u4LxkT3ISUKJW2yWEt8FyQxx+ -r3vy/Ww0+ymME4+qxBDpY+m7Bfg1sCqRFRDsJXhptzgP+Ppml8Mp1S6NqZguGQJUEpsXGRrm5U8M -uqvxDy6ZBDjO07gxrwNhk08dr9SUalVhGEBvvJSgv/5/XzmvuADCJ4UNmcGNsAgSSmQOFo5Fq2aw -7Gf3QgBexwWIvOJ+r9iFqfFo1ckO6U43dDYaiVXVM6Rd24Scq+TGcNwsgo/ugLama/PEPvEJkroy -7n7XGG9x9YVw4qfzzgh6Ac0v0/biOt/2O5feWeuzPU7ke0GO1unK5YfjrQgY0djVjKWhH6Se2p5A -aFR2uIjxgEneTDdcQMhLJLpOTVmTpO6xMZbtt3wu4Lpcq8f9+GqHY8QEdwuIMxm2vqizJ3JPAjN7 -+Eb1uVDnZE4go0A/dGwGfmpa3vWM2LgX5bLf3lv3pWEwNiaHkrcyRvoAJrq8yCT6w8rDUXY0OBpT -2QD4G7cVqVj2BdtzljKQS0FEArd4vPP4UHhAuyY1nh9btiHh/lFdHmJxrCDiBn6Juu9n5v+wVEcd -Xnn3ae4bgYlVrCThBfwFjQwbsHiLjLIVwsCu45n6FJ8fho1oG2sJBW7LwLmrIIgkq1O88BM7zmrR -PM5Wlh8Lq40VZtKQ3WNtG1wWpwIGKJtq0hTgIump/JQ/S05XqcxXbep7PHR9w2dsw4p9bkPB29aN -r33TUn3nojG4ws856vBpcCwJirrhsAygOGIgnFHk1aQNmQjDwGIQdwN1TxK9+7HgUvRnuYGzbxVy -d4Ygy5p5SvN72HmsV8mOKn0+I8tbYzYse3pPS1V/FkO2+BkIM8SoeVNnUml5UxBcsroX1u6Ib6NZ -NKHQyFipu0O+rpBfj47NkuWXjvmUIIvdkuIS+SIFNtDktDEY8QpoW/qEdRLP/5rmJwD2VBQ+y4V1 -FkMeL6jXGnPNUhfI+OI454YULGS0mBgTA8lIuLjvnvBuSbU4R3cQf2/p/roowxI6Hz2Sb/Pqk/o3 -6c6JM5xDa4gQHa5rBpMxTRs4Ee9H/FGyVY+UV9Mk/WNf4abHeNA+353FT+Ht86ROYG8ER/NKarGj -17cetzucXNTViFqwlNOalokOOYBhVlZvb4KbXbyheRIYznuM0vtuX+WE8BnqX9wRFVdgq8XyprP1 -QSy2pbfPMpc7dXr6n0LTjxTWcP1k0Z0SL0PqTQZbetuvVlP0B7jRIwJbv1mKJHF4sPG4yiQMtxOU -ZOlbAQwa3iEn8VrUkko9cNQVQ0WzFS3s+INR8+EY4uEz7fyx7DNpsbc1Y0LCpIVWgm5cyrZrHy6l -zeLsm2I4XBPmWmQhvXT1CJCMiIBO3eZZuHxCnjUoMKVlh+saiaJfGMX5mTJz0uEdSYNsqfMePw3U -nKdqXKbZBZEe7WGn4D+Cqeew0GyStRkfqsU3WlHU03vIvtpMYlrHeGC91Y/Xlvd/i+dTgSx4QTyy -4Vq3nR6iMp+yRd2hxivAua6bEnLyAs2P/DymDPEQdXxWkOajuqihMlE9mBTxaa/ziMWCXRzJ0Nuc -R82Vh2H77tXxx7r3lpcdDMIWVXwMRdn1hEjCXG3QuFQG4lWpBO4bzdc9EnSYQq0zo8/22Pllf0RT -VLM2+Wb84z5R33nhW6QqsOrAt7CSJLtGyAkzq9FZheum2p+p08vwE/A2lY5lSOjqVylxhQREbT+5 -31V8G+paIQ767jfjMhyx8psDG1mkMmCYuHYjrpXPnysjTHoD68fq4BhYUihW8oDsRDKkFz3MQzdA -uX/EQl7Hp1NCK1/BFZ5IPh4l9uKvGBTpiiZgrV/jvxdOte/lhgMXsiHmgcTKgd1xp2aMKCezGo1B -GO1wN55c++uIHtMP3gfn8ySJB/MEfrxSz9Z26ILYRMjhBc6fPybOwy9+jcDAavOGPFQKpbxJCmPL -//+at2bz5Enb/lLyA3qnx2DoLm7054wnyBynnCXYbwFz5kIlBO+VK78mvLiFDzlMNyomoofgGNdN -Q3naDlsfV6rE9GervrOY0A+AEs5DQ1cE0TFQm2Up7V6sI2UPCchOwUzzNqsgj/tQLQh/p0xD/lO0 -Rol8IJT8FP1ZTib8sh/JrDqoQZ6xcgKzoyMCqkDqFHtIh7fJVSFuTzQtYRvad6r0zgSBtNPrvHZr -2+2g8gPB9RYiDNFwCvyQ4mfBxBlkrA/VLovZyXOSZ66IZ1qN1Zm6FSO7w6knFh9nDQv877l+O+cw -9FEMbQ/V07t2fbRL4BO4X0kJ3MOFdqJm2JHVo6WmhYNzrM74IRh6X/ReXdWp250S0nJHpM8TE+KK -VluWiI7xnUpSIVnTqjjeiuBxnVKNeJaywFFx6VWSiLdZ6L+w5TPE+gB7lhEGBM1n//9hj4JScoLT -IED2hpHYfDnJKT5OOXYK5SidvDBoWI08V2RlvQPERd8UMGF8A4gRd6Y+xUyEQMOk/Qmi/w9Rr5l/ -MoOXNRw8EaFh4PCDkJQOPa4xkleG5Syxk5z/C19cVmJ5zSBIqPYYgFRjRIVHck8EUTv8LW6/3mIC -druwen6pPDw+q/rzNhrQMfGNbixA5UnUiPKNWaG8faY5SSPRZmfNszEZmydTkHCYAAiQNny/ttZy -raU820QtFkFyDKufXABKB3ISe7o/VMHnl/52Uig005462xRaV2RnrWyDE2569XUxWJwQuUMVyUfi -WL/Hx24oUd9rdrwrqoQVsn1reviTyVupQ7Zwe2bf1Lu4eX5BbYOIFTqhBQqaBxb2idQXEFLmmPL2 -ZeTynW1YS0NS2+TQZpUe9ztdON+wS8fbZI6bNly8oSMYTEYeIMNA7WszRlUUumqdOqusgENoYHHS -MeNB3O4/ecH5ivtqTUPk+bzMaYCB4gRnGIww/c3efa5TFlJPn7WLkli1lvBjmj5OeqD/PmrV2Jai -jUqLemkUe1uzW7xedFrT0eFjbGfFdj0m/uXqThEt3GJJR8m7L8fXx9hvZXhr003om6nyK9bx8cnn -T7mLdkWKeeLP2fBTOYcj8tITZH53M2/xltYBIlLd//2PqdkLasJR6yaQlNs0mnFML8yAhiOKr+2+ -YWyxPO5eaWg/G1LjfpN1XH5Z5NZkg6QOzTNQHmBUGhxABXM0PLSHGjV0DSqE69+5sNHtlien/w7C -FLYoVvAgmNBODCzRDQ7xHJYw+MSiSPmmZ88ESNuu7tGJ1f5cBYKGhMk+ZuliPB/SaNz4pbtNFhVG -dMMlC/YOkYhEigB1RTBXLZ8UtPP2x8811qW5AD7kBAbQyxQUUQpEOyWKv8pWgk0+LghQ0+aMnY2s -2dmOv0JGwOtnA0Qspdv0aeKurgtdq6lzUf7kI1FyOVl+9xBexOm/thhDSuHhCrZJdpnrv0w1FMyZ -KxxLAyDi2+xpM7uZU/SeM1WSYcAiSdXZttu9YeJPeK9nZKOjUoL6La3Z8WRRUy/MkXPXCSGcQOfy -xzqpp/ET4mFM0pZG4X+x27rNAVAgeKeH6MpRPs+ti+eSLOIgCVgoKLVobeXFN2634v65EeQu4X0b -dhYtdLyMYNs2CZyrYmySx0CNy0+i9Qm9YAUOIZT4ZuXmP1CnjyXfIQvk3oeiSZUo0EEtdZUGvKdq -1Kdiaytd/iIRfnbuMEACOwnJYbheu0PTKgtRiukXKgJU1bVfvhXQ9sseaNiX/HHNodmcg9Gr7M8u -u/IlDwOP71uRT8sEeuPZ3E81Qz5Ixl2ng/n2U3/MfG0eGJ8N8CvLRP+qB/i+rZC4ydSnhW5vjxbK -0xbgwdnlZi0QSBZEAZScP9iGHjT/iclFBdxZKo+0HOYUucbW4AUXoyOdBqQrZUhOmMQJjGp+2eSE -wR0VYijB/2qOXBI6SsHnnSw5XCegI9Jm0pPziV7KzTBjnhLv0cLSEVLWCZn92wdrY65D7zQO6xiB -2M/4YestMtOTZ66y+lyL/GGNwCT29vLV1Jbw+vEwk5IWSuBE3ZIa7o/kZXCYPEVdiTx22gY+bebF -kmWLjsEJUT9Ifgc2zGDB2aV2szOiiJCnN0ICSgxbPMWyG5WrCc9HP3o68fkODBp/OdVgMaiYybXD -MprGu9lyHmMBf7R3lV5fwNG/4fMrKyNWP9CR1rJi+IGzgTycDgPA8kvwjEdhIdjsWnYTdxH+HG88 -sNjzr7VIcz00+10eCC0GoYjrJ0+1odq211p8ZRUeF5jyRygYDv15aIS4SqeCUlwhYjwyEnS1aLTA -NOb5II5oKITeXJVRbGo1oWV+2iRSkhtne4o9n3+zyd0i/zGNSWjiYjwOX7gFqwmjkKIQYtQctMDR -jOb1qoBVKgQtgcSp8b0CZsJH8J3mZEd4VMDn+zAME5UPcvVaRTXSb1iP9Tvcrvh0L+ZLK5VIPRzU -hKTuqaWyx3GLizGzXJX80q2cjHpiNMgP9xpfGdReFHOboNUSq4VhhSyBhpczs9HY90HShq9gTbww -iHpcXP4/sr/bHGH/y/a77/XaQoTFBZws3AyXsmsRG/vkGotlm8pOUB/rlu0dUcpDK2RyLolLFIVt -TKSVyABB9I41fobIedJqJ+Y2wGKXKy2aqETugsXWzHbJ8W4YOFQlUnPLv7s1dGhzyz90xc8htTRz -4zmae9rFgnAnf6bXBO1iGlS44YAEKsyxen5/EM1Ftfq9vFOcw3ZdzZb8H5e3MY0n7r3/uuDw+0Pn -3wSPC81BpSVk5nHBtKBPtm5HOBGG+xB02eMSW6oCaor6RqL96kgjJuBt2LiqErD6f5Yu5BXoNu7b -zYtmBqalMEjs8kQYZI2PjUUdfR4EW7OvOs3mngrv/bQ2J3+d/Zx5Kv2UPlaltgbH+0l9EK/gjLCK -WMv/IVwd1WX7/lXLhp/TleETt3LgL46Z59Sj+hUp4eDirvOfGIOkQ8NEUG8YXOBDo8i4GJc7TVI8 -RhWvRPRBgwlDqhLxcxdL862vm2keZ25v7chl9ushkESlsCVkVtBgRitZPbAenktEFr2k6z1aO7Tm -RMWwpoxE0B1cN98oMmcLJYXkSIfqYDBid7tqULcQtD91rHY406ov3b9IV1/llo+tNSo3X3r0b45T -KrjnXSNNPzjcX7+WgxtsMmYvS2vjNV87vntb6W2T2nmAm9i42/ewuiJ1ef51fnWX72mUHnsCrlMi -zZ0NJ4UBwjF4IPicBIXT1niKtx/THGSWFzzKibEiif6V67mDLIYFegG59V2kPCGJRENHUvxb5V/K -Ckd+HPUMA9VhBDJ+PEXhqAdxTa2bJO5TI5W4uesbLNt4r4v0kE6AzEmNIR8CZGxLivV/3948cWhK -NekcNQ9cm2aSJ2PcQ7wmdsiCEnPGTyhkUSOijntmfnUCm7YP+SpfL2Pz0fRBQqiycI3ilegDuzqj -3KApeSGFWAG/Y/Pe+J7tvV6Dzrpwvl6Dh/y7zAVTfha7WSsp1wUlOPCO0Pa+IJU3f/peycrmCA+0 -MZjLpkmQtUB4kxorMLRi4xLDfkYHX8AVNxrdOD7/5svLW6XKvovIsPCD047QBP7uCHZmDBrNjvjI -Voc88S1QNxpwYZVSmsSy/dW7Zco+U4b1gyvBPLK28ROPTI3+sEYnPnrXs/Vx2k9xQm6hAhuyNzo6 -6f2elJFjDE10ikgoZJqdhVyGNch4hVr/FGnVKwxIkccBrcBveAaBdIoEQ7gYq5LOQ53rSr5u24nW -Nn5Cy0d8LI1q/sqg7CCnMPrCcpi+PR20tqZGihtJmCUEdCPCdM6ViGvXfYAI7PISrScz/yIERjci -OanEz09m+dvlsMwxXUzs6YYLQpfUCB7Tfha+p6GDLisoMAV8JLhAi2+j6mwCVLJdz1f3tgsF7IQt -sdtNJ+gFiYpkT10hQFdQJqTzSZBULcHvpLfwMBsYkEgBEOA+os6XR5STn0oR+4nEilUyBuKKtIfP -otBw7CEapq0+A28/c1GYhuN/nn8ZxpG/GaUIwsPCaYPP4hm2d0t0elo8IBORZK6QJaaz/wcHoaZ2 -JGVmAPDu7Ktb6ECl6KjbTBTX9KceD8yx8EQTFtqdE5LC7BZvLiHrrFrGF4dD0YOv9v9ODcEdh6bL -tf3oajRadHmmDpO/wbOSDDIlCM0loWnvbFgTweW0ABhbDzVOqFr59snk+0WzTytO2EqHU9x/xxn8 -r4VQZ1lwtZl0puBHGso0YJ21kFblkSpngINgDUETFb7yHz5gGYcTlt5MrQU7UbXjSeggrznWtQFM -L/VzpsANd3i3oyfZTPcXTNTHrAjH1baVk1PPmshgTV+zdz1BZj1nx+ssgER62NzvD9fhKsSzHG+l -Mppib4cPSrAGul66t3oKN4AuJqYbtI9H3W2X8kdyShOX0s+pF26H4HgD1lX6ycvcCPo5sESESM1O -tT2v9KPp4qZti/XOmiJjx2g2g6dcPpf4V9nAFEU+vGlSRas0q6C9xtB1n4/TeL1VQmYawhPcsTtY -TpMs4aHE4X4bpnen16x66I7jH4amB+4o/RW+kc66COnHW/XlK4GCyG0qPW4CGEQ6LLSkuLSxRv5w -Sm8zjO3yV9Geo0S/XLK5HKpihReXXptHYbNr3tGDOaYy1vcX1V7gjB/g85/wKwCyAb6BbMtN55CK -i7kuBeedIN6ezLqeISwoPODmxdT7Terox0wZ4cQAv99U0uxpFARBEGRkXcEMWn4RQm20pc7cDn7c -kyT0sBHhpTqfXTsPE0gMv5G2yxJxL/sKAkxop0NCcC+IZ86qPGoo40lpmALUmmE0QkSrkEwkuhOi -aiw7V51C5toez2gfk5m6WLvSTENtMQQQ13tpaS3R0RpXpq++EDYoLrcUFjfW/y04Vw13HvEnJqNM -QXy4/erOInTTjOkECcb4BlibMFgfPvoROFzaC1uxRFxi8MxmjgXEjymmpXl5+rzd6e1ALeDcSzqL -wqyzRoxxnVpZWt57fbZyA/N80/IWvts8oJFly3qiXm6A+yx2LDuN1oPxxaX9ZgZyzF9kgTI0zUeC -9E8h03q0nVHLERnFHECisPq6zN1NLmLawmzisN73umdbOdYvwP5iDRdF7VChUeeVRUB62/uaqAxE -12951e+bvWoSiKYPYpkkHcESG82zxnbPvT7tkcLD7IbvEQz2JfGdSRM1FDhy+Z5S7N1YwCxFCXkp -+V1xKmvCutlMTDaybvTV04C3o1Xv67LxReWKkv1xsWWK94Fw0dLbL1QudLmeiCDZS5QC7vP9nZMn -eSgxQrQ+5OtlLD10GvmtDk2eawtEHctnzwuWPL6FfuNCc/zsXhH8mP8Y/BYMS8+HiOEKby5xbUi3 -pXlmb1mmkpcMZ0NuIEzs19A3zKcoxlek2FjtDCyaCJobispLTWuS2nh6jlm1A+HXe2kl1v1GTKBe -04lUslXxMIDQaQD2AjGCXR5CioI+6qFUjw7Xy/3fUvetPKUoM6l3TXoMEjzQ+wCM3DC+/8J2zHyZ -bShDhv2fmS0X81Yha6gV5y7OJeBn8AmeOeTsTuffv9+EXyCjaiVVR1hL9I9vXKWGNhA3v5n3LIzw -qUev7IRUxCPDdSuJ7MRoxuO9Qw+7tsbHIPWvdiNldEX8qYdO1TDdy8Ux1Ur51snesZLCGsf6z8+z -lnfDceEgZEh43r4zp3q38oZgTribfmlXwpBu89w4MOPnU8peg5s8LZ5yWykYw00mk6GgVyJwzUar -2BUfkRtVEBQ/+NqpQ28xLMhN/ISOnhvUlc0+YziuaQOmWWs4lOM8M7dtNkAu2UVNwmiMLIWibapM -VjqO4s7WKpWiHtVDt63E5hUi82WNyhZlM3NDtgMBHczQAZJ4ut8sXPiyFn+BCpn0Zqfnxgl5+ga4 -u7yTkpXlhiHaYHlFkzLxsaZL/LtNzwrgi6KFSaAXZRpfxlBGWFxUffcpIJkJm+K3lxQEH9IkVlPt -d87ugWp9bZuQxst7PGLwIPfXfDKwkHtSRkXSAAmDreWf758SyTUFhamAcNTPjIOBDNUVeDZ5pJNM -Ql7SMKMRyvZSWliJ28HG3B255cHpDMnC2cihEgLBcS+OTXh9ONCdSSCT1uprUja3GEpRiby+4cu5 -kTaS3KcF51YHqy05o5UQCxSVLE3c4mYrCOmS3mlMfAGLjrcW//Ad3juZr2QBp+GZmrnPGTwkyNuS -egd40vnZkROLta/jJVHXWnnSut83yJ6R5cVKoqKJccZLuv+WqkXd5F5N4TSCBVp5xu6Je+z5r5QL -3wQSwXtfhlFWveLQwIZmOcm4vHcZuZT37Qj/HMxFi3XU/EWy6hrgUVhS9n8YfLxcWGZUjyu3T85c -GCHVEwmvjf7AtEJT4lAbrbldhTZE7IUW8+AFfSp98enOr5MmfmyT217eboQ0Y+Dc+RcgqmqdMTwN -WTk37fkiwxwVvrQ+GiCAnkSB6qIP/kBKOlhTwf17HfEkp1AOYPgWapN3ZYrblkbBE+Fz4S4x3D9l -Nx6/9JLpQfWEsEJzdXDrCq5wulWL4IuVh5rqAYrsehy50cu+i+GtqoyusdbAxaDBBY2dG6H9W66F -bBA4EF5mJBzLA3wQSSpf+Zz3Hz3Rz0DDVinXLjsG8617A+y+Nd2lE6uenA51FY8t/mAYVTToU57S -NSXTPZjZjeswWstuJPpn8LD7lGNYvc5qPr0kuyPJGKROo7PN5SxcbP8LzsbnBWbsEUh52gINyHAd -ty24HUqlU5RwSGxMjV49QZ6PCPgnhZVii2s66kRgSNTPEMwwEdaVcab7eBWY3mbJ+fYnt7wrf8xD -72D8UUcgOT1S50MRewDrfY6UEQ9oIU2G/yXv463Mrp+IvJhavmlwkJgKKOdXsKv4CuaAT4nxYXse -byAxmlppShIrLA771p6AiHyGSac1X/MGEeY9OYey1BLk3npCfjixpspnz1D6GQOaoJHW4gqUfV6K -TlBEiVBQU5joTbxLpuEf6ZKxoboABUK6C/7OYVuGsgGva0EiQb4GUpxTYi0h48wptl7CYbihFnbW -W2vrqVG++bjlJYQ660KZCegkXt/FziCWhegOKE2MWXMWw+PX3nZ2Ul5aE0X/V3FlIljV/UXJBlpx -Rnc2Bxmw8WL6frVNT1wgaOGXfUbnsOr9bC036DVTLkvBGLatPRB8OgJddaXpQgCLOZJK4GVSRlUX -JTX0fn8oxDup/ARWgB8tkcRFwCIrdAbp1dUp8A9VWZbYwDz3ZetwivDW2HqxMG4ZMB3lMZfmk6mY -You5D55Eu3N1OlOSilwdCaciwERFWhIgP1CWTMbz8rXt/QVbYlsfDkWR2xX/OsTuUVbQlVZrPhFP -gFO/OyKdXauACiGMOUXt8pWsn12BTtaXoWOEL5tXhRfAw6qE9oDLHhi7oH7ppEwjM6QVwLJed2oB -FPwKOBxsK3BVqekrwL2gBXfP2bd/OtlAG9f53DpZULxI9uCO6vZoTdhjytw3oCfHctFJlyvnxkD/ -5vbHh28J3/k5CVhYbb+HqEfHzXN36lcpIM9iZ38FAfz0v0uQoTRyjEkeK8+I0rgmwssb5hKJkR9D -V7IDFejukRll9+KSiQysfW9iQXMI3Cdkr3qIL3eRZJBWDL64TXvUjCDMAPNQ4lmvqepaID5XNOAa -L6jOL4om6uOIBNFt7IMJHnVwa0v85WmSkJdi6gLKP+u/K1DxQiAOMPhdqOye1soYEJCnGxcnDasM -tjUOFqSEvKb20P1t4ietIfrRZlX1VEpaf2x2gv2K5E5Io7+0Z4WK1RlxQ2iF4lAljD4e/zagrZuv -lpylRWbWNV4wy3L1ReOn9ISmyjbw0A1XuCxwB9zxtOtctgXPjfmYbCRQLj+wHwTTDM+IUkhm1DDR -Fm9b7lnmrbe/bRel888NXlf/twglR8p4Sp48ZAUXC0KcGZjW5nMCnmFNk+EUKFuxtqRNAnRSDJjy -eIwMqtewKRNkhp2ljmqTxNPFS6WkShWzBM4xqTx4J2prHVoohp1Aod17g/W9zIQZfKZYpntEhowO -FbABxEKQplC5yrQw5BLf0+bCODpkq4Y9ePL3z5/xr61U/EdcgNxtRU/Uiff6/iu2xuTW4kn6luvJ -7uOH8qV+tdNJ6YvZ1ebyNo+QX8tHsXeQ3fLUpLRkb3TJKZUqg78MJVSr4wNoEN6rQ2/bYRVDAIgJ -2sibuVcM5zsH7OgDiebJB4qdlVuVgCb1yDlvfB2aq1R7J2Eg1jh4e2rh3bq7FM7ylxHOihuvu27W -S546nB526W+3XQRCem2WyVFmoOuHS74dhHVsP1BtXpdqGk8hSeZc5gJQW+eqKjZEMk6p/R8cKwn4 -IjYPwBsYZhWNKSGJeUbHiMw9gQCDyOY5BdkOsyHE0x4NadFH1CcwvFk2UOjv/Z/FovngO+iRceUe -R8OeA5wz9/K+sessaMBcXNGDgAWn+jjB4J47NAPGXJ/V/kvm3KTQomAzI2Jwun/cScEFMOfKzpNW -+yuvORK0HIOeZ2QD4/0NT9PKfFHbYNa6GJKQ+jINeXqctGGQeg1pevlDIfbGpKONKE+VmhbiQaj2 -ZB5JU3RuS7vaqkhSeF+JrLqf0vXqVODO2q1YdexctTQdsG6HFlLbWpaJk+1u7SqrNgrf6LFx8Da8 -RIbTnE+odZDoEQhkpax8Rm7pR9kHKtNcsumS7cxdylxbtYkHnohTKV4WYCX+qI3wNLMIEXJdFYPD -Bidb+i0/sjVfBuTjn+G+DRadSq4JT+lL4fPpwoMoJblplCE7LMZSu+GVV4wubZN2OZNgNpEJzIOC -LrzZbbaYMcB+3KkX+kYe9q6MJ0WXrK0lmFLRXLIXcoHLQG9+49y7EBvFUEB8Z13SFmFfnR4BZFr2 -liSoVZV+Pk6qjBANzqmdh1lHdH2nPFuMAshGmZQXoGBURwou9ICOAvNZHhIi58cc48YodhlJUzrt -vpQTrsPURhOo/08d32krCYDZCB/XK9UmCLkF4CgsU0UVzhb0xaEu0dj11TVcvRj7okGrzPeK90QE -rtmGBH8sFw/bl/lrfL01HEDN5OUxWYHGw/poawbBSPanafaYTQDVQW1JpecUJ5q/8aI4N/OY+wbb -gbqfg1/0DguHNKSVpBRAbfZteQNtYZCaoeVuGIbqrdHNfUkkJrWANmvQIpv8nyMNkGMVJJ7+Jzmq -r20WdnvuEdGO6couemCX6Zx1+XGxjGRpX5sXCzk6CSclIFbkV72McH0pntJd4YdsRjTW4awg0rZg -HIs9QBreofsfTVZJv/lGfMi+fz0kOYuAi7Bu3dYAcHWhuc0urj0A0J0CnJ+Kovjh/P5i55d10roP -L7N/qQFQuzoLG7y/CJlI+AX+npGFipCi6B3cSlK9DrmWM4aOMtWqXi2PYRS86nl+pOh/oBFIhTBa -Anziq7uUOso4mlkTT4T+wcV1oIhSTMC9bTLtb6A6v+mSjbEcTAH/p22a2R3/X/uThY71KKAsCBB+ -MxhTKPufmzpJuyAYzjpRB2qZ2BsiiFLJbKrnxsQFdy9vk00DR0vhwhYSmf3ysFnhANQQLqdM4mHC -JkxQn41ZpzLBvfO05aGGhZ+fi4flWiiewKGpGKQzTIT2k9Q6V78xOtRYd1s7JjULEGuG4S499VL2 -9x1gXqbLq/u6un1g/Gd55zbDmL09n2mTTutnQW87FclRLIsvzdTTLDhxscxlh/EpsehUxjFAnT4I -fSVz2fbOJNHJl6e1u4W6cUd3y8LaxnfWM+mPSImMCcxUPUCqR7SCHKBMop8cfQjcbSBJKaccv2Uv -LDr9dmY+hPhNpPDHxP1CIDpio9NpmIQl7+7BmMZCnpkPGhzBOfeWxArAVE7uMD5zJOMovboy0q4O -eq7loYHAt3Z6wVK+/RBwvuYEbAhtBiRuXly+9CEavvt792Y+TYDW3JEaK71cVgCDQthriJ+fDlhn -ljyk6tBLjNKmCmx6CS5UDZHFLmecsXnwtkHjqQTZx+q3C4/OGp/2yP6cI/eIqDCr7rZ++y1SQ8iu -2+MaeKPYgpzJqaRmrPCGs2fpiMYQs9lAk+RcOk/ZhZEAdvN4pxgYAYl7nWsy6gOPuaN4LXM91mlN -O6/ntiTU6fgP+FuuImYaXmMJ4LyVYtNw86z6AdVEyxs+MTZx+g4jCvUM03s8QoC/2G6wAI7NebZ/ -xF5QrJW8XPQJEgmQ/aIrdBGd0lQl0wXH88PRWc0BVE4rZnyBxqEQApkQfDjvcb1NT5QUS5XfMLKJ -ZpvFOgRa+wVQHpqTUl6kpG6k2TQQCWK5wwiqwdVMfrMSAF+4cwbLzUcSyl7ClEiQDd8/eAyoWM5L -F7aU6dvVNO0QZhOP1FTsVc5wcBfZKINH9nru3Xl4E+kbqXbsHSovub0oq7s4sbX2DkBvmUFn4aja -+cFhkevU3HjeQN4zLZn14XeoKfQD5KPxs07ddNCOSH1IbMXq23dxN95X++s70Yawu3AIya2fKePj -srcN5EfTOHJG5c44FH5eUPXpPUzSXQhVqZa2CPF/hJMzBzqKcniDgXD55tZLzYHevCjDIusADi9a -fP6pJNsHbD/AZ07aTPIgajslRs3BF0akDekm0xqPdKN6W8+eQkaq4+YpcTp3zwbH544JB+EH6ZpI -ojxg8qmNrcTgr1xb+r4X7ikt3/MS3+vsjvc1jPdhXQdGbj4/hYlN+Bb+9BkVqAu3t5zYiK4NjhoF -OLLNz/tjLvNaPFuQTqnKGe64y1RDSV+Y5MhxgJPqhrRdVE8pZdoya5VQswmdQcd3f2sFDuzuJAG9 -qCy49ty3cJUxzGMvZ2vkF4/ykANc+AKxd+DkJvq0aP6wFzhAJ8WnkK9w5KeLgmv4xUicWtwpe0yf -QtZJ0+wbF/s0YWKLANB9aXxCfO1tS3LT6649KkIGQDpfUnRiWtcIy+ixdDKmJUEMY4M9j9RJcYbS -n8rAQJYU/cA5cgwWWsn9QQGPb50YA0VdTt9eThdjeCfX9CC9YmDAFLnQFcVHDTUd/Y3LY1K5SmP+ -Kb+yRRs0dCvkyFlZbZ8au3PHh5VyPOvR1/KlLAMqL+zhigI6VmLIVEEXytsDX5vz4CF10edLxGlJ -eGQTa/DEpci1YSfBfVpUEmbAKk8TfIzA6mZF9V3NVPX6WXLhA6Ic45/G7dqeCUI4PUux5iL2dqD0 -b0j1yh6lPDWDTmLZVugr1U4Cs53JvcBzoECg4yIS/uRW0cEHJb4vmpEvoGL7eR4alNX6kKJAQLxr -PXQZHblt+Shi8+dpgRqnaEsdKk5xi7zSYlHXnRurTlPTYOsWaDSJhwO9vC20Y/okPCZvXMqss/Jl -kUQi87oJcVLljczRAJ7PpIjDOAcwWGWTrQgHLt0CeqPbu6gRW1QJ2/B7YLyI6zEwVAMPUwBBxsQv -W+dH/8g/NZPE8ggBAGN3w7tIzt8ehPdSR6+kQe4BSMdOubOWnF/t5PSqQP3T6ea9/EJ1yQKCC0yc -eDriU4zraOHNwI4P8q3ZkBl8+c92dF9U0uQXjh2xhlRdUkbmMzobCNka3Nz9OwcRV+tLyw5QQpzq -VyKid5OOack/HIU8WYD1FiyAMFeH9HyrmLwKbORFv6MNdBqdfBJtTM5t6zoripSp3O3JTaqEhTPo -NT+/qkfn4Og1gKArrex0X1Sx6qHkxmGptyJknpN5DWclbK2OnW6Giy58AXzb4dUYcFI2xqW3bkPh -JHPQE+2i57HUNGk7QpVeLcMKPTcjCIXxNSmaffCwFani8uMRFcp1RYVfdDLjuIPZFXq0dwcxnArH -DytW9pu6AZEbIWHt+xuLxzGnCPb9rxSubJjUbfE4fkcl324jq+tDXfIUEGNPGkRwaT4Apnr0jxF0 -rKktk+uelYzFguup8HBlZZ2zeEymJ4edHzAV95Rh7O6LCBXa7m/mIJ2m8Ku2e3qdBJ4Cu9taXAa3 -nMCnjtkW1K6BlPZKqm57l715I7hZBo3qtr3CDzdCfD5rTeBR+CtWwm6WievfA54evRKOkiMtZ1Ex -Ia4hUO9XT3EO8TngweoW3pwyyNseT2tqgH+LdHL5pP0TSBhVE/+bAhq76faaPFToeTWOijgrVsoF -BupX9+oJLT158Mw2+e6mEj0M9GMJcoanIy3IJlqpAEKK2CZ4v1iCWQ7jWn4j+31NdZFDWYROoFuN -kmXcNpFIvY4c90qV5K2cd4O/Ot1FmmhYYT6SXqwqp07m0/8jNzUFPAq4BrycnRq3xDw5p6vDWaX5 -XakG2RsXhpvPJZ4cCJpFM2c+kapsWlZPkovrZ/piZBCFIRFhwMEFDKLmkIiFFTgd+eYt7b8PEnnP -PzH5AVEUv3HwpWtjhl/tWvH6lZCyOoq50IE0R3s/8fPla3BkCRrYQfdaW/c7kiypadRAW4Zj1um/ -+80PD73SDn/f4WHaYeOdVm3zoPvjUeyTD8ozukWuJ4m8CJx2OXt4mMt9grnCV7fd0c9GgsjqOPTV -CHuDsgdinHPrOPWGUVaGA9EXyGClJzqrko19BS0va2v9pIJxNdBzKNAxUZJNcmh8Yf05M1hPNQuH -ajFZS0Wtoyzp643cu7bq/ccn4wrMrwM7a2//kPfTJla1YjKzcNKhjcDHnHXD/ZSYIQUyceYSjOeF -Rr7RLlYWLBJit4zMcD9g+ob9w5tsPXlxjRxV09NSQe1hQNmXZU6zjBDD7KOyI0Bus0kfIaLu79lJ -6Fl35LfkPfwy0o0cFBv2T3ENt8RxL/KMCDUT6//sKpPi6JVgoiWempMkSLWP2t4uL+TCo1IIlyv/ -TzApHu4n538LkFsYLs8RBrSU2O0A0CiTJakfN0z5bIKIoTnWupAJB8t6uiZZbZ5Ax9h575mEibsf -DqrbNsn3Kzb8/bHFs6999++eU0iooBJ0wN2RIjC7+VLGBlqgqhJKFwUCLa0q5zal8O+JtcYZgFBp -oIvbyzQEb7c2SvVaQdFHeStEksXT3ZPStEQIep23QQ0N+YTHidGevNFl+SOYeIJ8fh0HoBlyQpRR -9Iq1VSEyqEs+K2qYg3c6hfRNrd6nkSTSldZYcywBKZvldwFMR0LFQtCrx5R7CZNHS8MuAQTrqjY4 -mXxpkExMceI61MetAIn4vdSM5rNrWHhmxMsNhmDF4Efoa7DN7sTDCWbhQ/tGfXorjhO0pCRV1JtM -AkxZ9TDyP6LxBwql0xnmZ+VaD/5ZDmnbQM23NA7Mwi1ChntjjM80Rw9xvWQOnAKDMM7Sn4a2zUDc -ANkhgJEVAcEopCRR0yw9zIuioYbAKAQkW0I9LNFhYNbLlKt/YkmFWrMQ4LXagJF6kJbvjyKT1a0g -hwbDoRSTZjVTHmj1QZ+451tfKpG2DctjMAUoZmkWUuYesGlOD1/+AYyNz3VMfUq6DNLJQLGQVBGe -NvmHdXjXQzNMDy0iFfmkL2zv6/3TFZL/OSRHGYQMHvO+LNAHziTSVHPCg5JYzqMQ4r8jaAe8E05F -E5MRmCO4EEyeZspbozoZQ0XV3T2Se1p6Lj3sH480Z9CPtTRBmqivrFD4dW00EhISlYRqu0x9w8m2 -EsGlmI3g9xzv23vf4uhyQ5fK+Z0E4bWD4B3FY8mQthi+xvMaWXm7Yi7ACNlG0Y+5Nyef57nYm0ve -/VmFBrjbrTEnJUj6H9IBsetFvRZKS9zx7u1fWDSewzzo1ZcSirRk/pmUlM++ZFp0yYIketX1fb3P -W3wGDJ598lMBRDNNgpwxX8u0+B0oiyXWcx09sO3x5LKDQK+7yep8AWs1PDPm342S6f0aZzm0BGJD -ufk6R1J9rOx7Vux4j9HY1OFj5KuiVsZ+rTcffwJaSbH/UMhO227DKqshrkE/sH3TTw/s3ArCzVAX -ECg878GMOZ8054uGxfqkSIXlg/aiW2w5ioRzqnNKSeHDbHaY2wXoZudmu2kkDWQZWJSxUh6lx9H6 -q3oLOus282fAPInkhgARxrV5rQkGKQPrDjJErQuT3pdePlJXN1W5GBRXvUMvZyTnQydiDAv11FWb -N/5vZGXg6aeTbyGR2H38VZP6C+U3U4f5mVmz0v1cre945j7hTBC1BVFjcEbaD1Fqwv8OLO6wwjtI -9nJwkidieiZyTRzYVMsAoNIiJQMF3zdwmN71XG10LAutfY/ZaFZpFCVVxh5PgjbslJGwyvuR65x1 -B7dVjcKM0wnXt3OjL3Jm4nVzr6D35x2gc+6olf98ZUwVc+UgMY7zwQ0SeQlIcGH1OmiXjgHNoeWg -BhpAjIMqMhXU/FdHMzbkhngKkGBD0blvA4XvAy+9muQEVAi3gbU8dk2JV2aIWbL+07vZdOnG1yi5 -gk6mXrd9bR4pzXk/gn9Wn8sdvDqefCUiGGmro8Zc3o/SncZSqhTx/MqDTsigDHoSaIASn+rfSRjG -hoa7DRJ7RCBPigYGtcM+XXnh3cvwevKOWZEcP1qdyHdj/f+QKAz9EZ0gyglL1SASm5agZnDuH0p1 -hbTKiEf+OmHZYvyqLbAyGAWNnfRFcYr/izIs50ydHPeCE6eiE7E/q0Mdy5N1vnv/1LTDpDT5Hw0I -m6QDOtIzrj3FNhlxfUe40Y6DlynWJtbBmYyXJCzv6UcxUzF2mMxErL4jg5tgQdKBQwsFJp5umnYi -hiDA616roA0Bg/Xbzww6F3tHTyP/+WoQcCHsPbrIcqJpTUk2XVB57PumAWQqMVXMcZDp1B+ojDMH -8uHzWp1bdDQ2kY//HkH4v9/uCxHMDrxf2EOt0AwdgelVkaDvrXKWnswT3OjvYx1EH2xBMxF+J/dN -U/ZQKmzDiboVcsfPSi5003hFISQaRsWutXAgLbkcmRjxCK1yYGtl7SJFWwaMh9jxB4YY73ppd9v7 -IcFtz6kJhquuujlmKPjKxeYPW9lzCuA3yJBa1e12xLZkGx70L2Y8und1hJ9uAbC8mT3GlV2Y9Ysp -JbKNMWgbcnUxP3b0wfGLUtAOf9RHFeCSVVcLVT2JiLMGMEpsaVEIdrI8bcmVzuUFySr0njZor887 -GWdag8nJGjo3pI+Y8d2kl1GYJSDIeqLRFpeBGBOUeWK+rciA+wKzg2PZ6X/l/KiKebUiAsDUzBF1 -lFIJ2FjCTSXh/BAp7yj8inAIAPD69pNl1c+970E5GBrs4Upl31ekV7U50YmiKyTWjIzSRBh2E21q -9du2Mm/osY3/xbRrc6jaxlpelCMPDLESwgBZG8TSSYUsIPK+WhGOgRACUfxHhbf8BZApdiejxLO2 -BOQTws8YBFtEgkpEQqEHI+vBUBllPjfL9auDBAvd4IRGBgOlFNAidK04t5tDcgrI9xL/jUD3ZzS6 -m/16llA6LR627EEKCdfb72N3PKvTaUec1JTj8wn0DGkkhp4RxpInlHkqI2gKJ/ccwb4KY3dT/pKZ -jzQZ88eGgO8JSWKQWruOvko0KAcH+Fy/QPVhBi2YjJeTjoSmiUy5K8jlVHgyCspi5mmf6T1l1ms+ -yHD+f8pfvMOQRo9Oeox63d+w/ExM1BW91RTxAOaOCj4HJYxnOxJbXpZ/9GNFPE64eELnJ1gBRRtq -A+zP6j4+LXjxLdFRsQkSxxDD/NEmTfoj+nHdYSwMkaZFpItFitMYnOW9MtZx2azsBjzX9bns5sSE -G6RpGSQig74py8Ot/cFbGX+oarsOuvIdICSo0dGs0U/r3xUAuS7k/XYxBZVfX42YsSxJPOILjyMD -fL/KmsZRj29QE7jZAHHNbgnK5KhVho8m4bjb/jUS9yyqmWwIYu7hpyJtVGEMqT4B04j5PHiUwXYp -NopEY1SNDf40xHNYsu/CMTczxIW1pD3j87ysibI6mDi7NU0OLvzxRTYF0ovur4+3PDYBNUAIZck3 -aSZs4vsV7Oou7XIIKrAe1LPvs5WZ7ECNkJIgUnzLNbBCc/MasZwPhidC+0wF4/Q2BJpL0U+7COgv -c7d9h6Yf8oU6nqrskLJ9/TaH+JrWZ5IcCUfqJlBJG1VBTJ9/Tzy7YdVMXSsQijdK5Hc6mIIt/WID -JjbUABktc4aR2iZEz3waDKU8wcDbnArLT9BofP5ACm2cSliNq01S5bZ3IhSy3m48llGFBDO6xZim -90n40eGx0S42cXVFww5VULIqFN+9K+NuYnTQo1sm1dpPpTlaNGtNHbKsR/jf08XTH0dysyJd53fm -ktGLmXUTFOiiSkqEq44GIWBF+GKC8ZpRz7SMo8uRCLD8HuZuQ9AX6BclR/cl6MzebBKF2UFBjzYv -ozjCvIxKU/BB2/o8sytif3XjIzxDOE72BU/doWDgq+DJZy/Lea/5ttJCoOrtUM8kUXs0z9dr2Agj -IGIhB7Jbez+zj0QEXGmRvP+Nr5MrkAhKS1n7iEK4lBro5x7BZ1257Auasqx+FsauhkRMwqQmLofh -TVhVDRr5eoq5fItiyWDiiF2zREc7/wt+ni0sJAeqNcj+ZlJHVwdAshv7x3dA5cM8k5FS6B3zduNp -2i4VefBBCoQ6QF7uHarH1Z6xOTn87zKtHJCr5FgGuM/O421qvVfHp73zxAfv+uR/PMLkL62xqsrT -vL4Wj+cvtONZmJ6OfB27N9VITw8+hft/ZNv4xi+midVPX9XqJ3BWecHsBlUQfPJP3UGR9E4IQvMl -XK34v/RMUR89ii3WEyhrbKC7+mDQc7DSrpoYAlqIUEaGSzjlY4FEB+ropq2+GZSLqmAaiCwbL/QL -hBeO+pQeQDfsKuS4B/430QRMFmN6TXbMyGUKnxGjmdnmteljzlaXlA95jvmizv9nZQa6FClWBazN -jnapbVwIr5ArBkTojpcYtDWXGJcCneRKw9j7dIQR4RyoAZZBxKXIfEjdejuEM+i8l+Eaq6HcddqG -OGe5Kw+VsGmKQ4GXyd+ObrM2Bde3mkUWIMDujWK4/vpKXiKHSIJ978DJ3fVAhdJ1HuqkIphQ43Sw -TPT8cuMYCQ3t69759XYkgTGPIgkfMF7hbIMNarDkx5MghF+n3me5rffdhILP+UY6cU3auJe3VJiF -oatQ5H/KMvxcjmD/vdsNeSC4lNuh5GF/mqnQN2pBht4MmnIPxPdQydK5dwoDOdet68KC0xfrzZJq -01RZlaOBL9spk5LS6ODmnKiwGu4PSV3V8tUnNPaMaU6WtMMm16q4maItxkqRYG7Bv0hIQ26cBVNA -X9Yvty8G+IRafUzIRwWPuNZw3IZEk8LgY1BW/So1dFMDCurv0KcQpp8gTrkFmw9eEc37iW/nIIB7 -URAHt1PX0CjfQ536Yn5XikELLCq6FtflJzYvwwlLspEwl+9W/HWBo5T3BgFOg311W5wE6jBW3Ykb -CUIl3h19El4QRpy974BWt6eoW4IKgcTLwblDf10Kz+fojxKRvE8nYvr7DyEy6WilaHGZHH2nZXBx -CQznTsDNpt5p/yIG5L+uhxy7x4LVwKlzasS4YkKxP0eO37mfQSQiVJu/wcHYDWp5ozBY7KQdZDMp -DuoKxFrux1BOxWkmxbVQUFNZm0w6vTfrQz4od1m8+PIDy6jD6sRmTqU6yTBk5Xup0A+Dd0PW/mnw -Yy7f7NFo5qqAD6GrY4biuL+8QGCX5hXJWB9hQNk3f+sAgGMrCBUBysGbEpPXdkIGz4Fj15j136WO -ugjXDMkGjUjz8Y4p6ZfdGAff4pWpiqePpE4zFPuUGxgUCzMKQdxnfI3LcQtJIZ9WpEG51IOwfN8D -vlYIgzDWjDoUH6jf36dD7vsxO3G4XOorXhEfN4A1K8e/GEjIGJPVjYEyy6E6AZ6Vwj2IWstE5TAY -OQ7ZhIFVsunXA9NbqSH2dWryVDKbMnG/pkq6JkRwct8udtVVH5dz++7u++jpue/U1uzrLl/lWHFO -BcaBRajBllqabTH1cZqRAB5A9U8iSnFxo9dCUqmd9Z8FvoAyWg/rjGfUgcqy0RkLnvmrCMaPjrMe -rNtL1CH5fEewR5dnhAJgoEIzhfBRV0uUcelYDIhdc+Hja520imZHDdmov8E5TD9Q8LhdAbolIF52 -mRWhF9VftUQdRy6WwLjHLNtfVj2Ch32pskmlqrFbMbPwruJrh/jXtDreIb66B+mqzzkDH1rSG7yp -9WX/1C6cizmnsT2qjqKtyliFUeCE/VghRC8fyo6jik6HT3HRwRepwBPmB0YCDrSvN+wMUoy1yZnV -6rjq/XU0/BIHbEj3f3UUw0c7X/AzvHzI/3s1uENkIO+VntdrfC20Ierf8ws5t2FAM/lTMC28QFGl -Qc9d45j3PHWw7j9n7NhmME9upWzW8KHzeyiIjcWEkXDpvi4CRPHXK3hamwOZR8NNLOHqfbR/Kw1n -VNHOOYMSfeL1ovUUPOX+jyuYZZ3VrX492gJ5oEBGQgKgm9/kkZIskqA1XG/fimYDRtmzTuIwNRdW -sKFBxckPUZ50fPTSh25ao6TFfI2YR7S6pDgMI7bu8lTrx/igVTsk4SsjmpfTfeesVPPe4eEx0h8p -/H1YtkvP1s/DVSFkRay6u07WavsN3UQ8AOma998IvyTpHIc84iinjLvW0ZRfTrLhSr6AjmoSUrOs -w+5oaaLdJIbxlCGs8R/nowYiYrOsIe7ce39avYu8yA4MwaFc2T8CxCcYBSIiMBKV8yXBnHKW48hC -RjRW9mZT+WMzPGSyfaKK8VGHxnjNcdK2QZRELzk7OtmBdkzpkmW+VuRmoo/zODShJiqsfaq+NC3+ -vxCv9Sj+5gIVl0zAxr3O5zYYAPLgIbYqaMhJc5R7dunVI5Z1Q1xZPEEJXKCrG/3+azw54rlktfMK -Ouf9nDexvNqgYDhf0uEMxssR3KtZjTd+Kkm3IMpYYTTi6RVZMsgPIkURLtYDRcRff0SKSBdOkAUC -6WUS3Fius9FaREaGdbkZocaa9u+Qd9lZe1CebLRBWvcrn43Ma7MwKr5H9HF+BKo4ccSOf7LWqgtp -qQ1Q6ATyjFvwFLWcyNVGkguAn3fnmiAPX53IWzqknjwKRhYEJLD26aQfEXNYmXxJl3UJCO2wHvx5 -/NJjUvHrVp1NCRr/s+2NS3WbkNKZxQTbgF4aSexhPPA0b+2xnXQvQf4ii4i+09IcQh41WfI7Vdfy -mXijYDGspDcXomhOA80l1PkH7ZTyd5WPT+2YQKg7L2XfysQHNNML4jFnpLNOKXeDqkO4/oMRayTN -h8uHq2YlL1o2SH6ghBhKujmelr6+mSZgZWZykouPYNmzsyS/r2P2n/d96wZVsaYRNcncBI8/+Q/L -F6o47oe0ryUhgXLowawCmONzgkOxZZaLHSR+ngMiaBkfnNk6C2HPBg4EEMzwMuLzTkl7RgxmKZq3 -mmraPky0PASEMdGfWUE9cRY3npxUHdV58vehVfSiSZyu7LbNbgk/r+5XXZus1fBNuYtiR9h3uSVe -NHl2BDL+X+dGWNjs7fXwf3gDhZ9zt1TbpbmnvFbvtG0qotfbgOQ/IhDXp6f+NKlijmeagxansHFh -6299jGyif2Dd+5HqERkorbSgDQUeo7FcQKB21ogkz/UWTLlHHnE0+uqmjPYUwmtfsl8Va/0CnuZi -eMG2uOpaZSzcs2oxxD1LsixpR1KNlYQnUrQhkFzxx6EFHlEwICJtJvks6pDY3PkRzYTutdHwblWA -H51Pl73WYqpsF7rt3PTWXqw4gA3hlKUbEPUwutlf3+Ga8bY5dTIVdUSKff7T20+tH2gQeiadwrLU -dpTFdnO7zgx16m7MZZI+v3j/It7Xn9XFyUsZH4KEMq8XcmVc3P2ckqLhE5YPw8qYOTiG4vrNnFHG -Nq+sGcmLY4p4zHDrfQmjdXoYUYyRCMFbYnKyoPGxUMEDtKg0v8VONeUqedsFtqvdZSeA9EhhL0ZP -RHVUQKwYGlooe8hd7bZ3j4xK/OdKT5dBz+BX/5XyGct1R+1Ve8TsRtkZG5DeR0PKwKBWh42LYKSq -1Sr1gKjo0OcD5t0UlJ1YvQLhWyR2XTNaX/K/ALnC6TvQ/wiTn0q4CqR+HpHY9grWtOl9f7Y2doOW -5GPGK5tMyFr87Que04tdApsm+4RN4xPnm73zHmHdbEajfMW5xJOyMWKztXIPI7VpHob6I4cB9Kh9 -3f7yYALETRwCpCT+iWTISW+A1NrmGHYv1yzGmZc1HiwWsEYgegtxRGLLWbThtEAlTm1OOiYkXbSq -659ehqG/ZnUDLIi1oTtiRfQDpV+MT3gjAOhJIs1co1ogO8ySASOBTU7ZYR7JGyhTI/uOwdVUXdku -uo8IBHHEIuZ5/NsfwkcyytZCJEVsroczflMnc4+iX+TWr8wSf+ywdpKMuTOB8mGwuQl58VWpVWCn -DrXIY5IqazN9P2bE6zl3FhxatJ+D2qYtnS5s7qN7FOkwDcyAcA9iQBERPmzUkQWvp2kDj/wjWYSY -6qyMxghRtic4kenJ3EaiIyV07+gv/ufVqryIt5osBYk2kE5b14zUAd+6r+hPInXO5C3NpPQT5hHF -pISSrEyTpSZGvAPnHW6uTfgx6L2ZGm3imHLyqmV1urCoNMBIjjyNQYBccY/hKGwLDElggHlDXHid -r/vngOeZBXVg02tSR+mBGLbZvjKqmEACVrSstQurXsLmbwpcMfV/qo1qwTqG/Dmsz8sIJ5+R0dP7 -f70LDVeJZcWzZrnqqZVlTq65u29xkEIOEJ9TOaWnw3FAqTE/aX9EWun6QAuB8om43zL+VWQ5LdHS -GFPiCpYtox/7DwalKgWpkhzkt+oLD2G+vWjZ5NifmuQ9TQW/Bbmwex4RyhMxOI7JPTIhlspka/26 -PXm2G6juN2uWRW3MN5mCoGJbkD+eTTyOJ6u2tOkcEO7j3NY5+7JyRl/XWgG7vFJTr9sSs03BN8U7 -v5/bgIdzQw+t2bIW4k+HRuIQsT/gNUU0j+XPdWibWmQUU6Ye+DxQykvT5o/KUK5ftpq8LX3K2Fc+ -u/hc1WeKd/Wv59Jqjzx3q1sWJYBTdp++vBIwlcRd2qrAz6nDY4gRBKaHZgrw7rIBgWuDzf4xTgju -MWhRhmBp3JoofpRp2E/Ub9PrYHY9gjiFyZVpGVn1mGMZb8rOVJ1Wk9Qv6bNt9pr2hdIKiUVOLqWS -EUClz/Ok2drmYq1O6LG9KIjTpfDDetOKe9IRhR+ZLc+tMr+FfcUUPXz/CI/t3GDeHEyE50Rb8mPU -sWHYrOqX96BFQC70xo/BYUo0N1b79QkXC6h/JleSzGK8FGWB6edmkx20S81v1ODdPjmTbHP0D18j -jyomg9Q8W42bqz11riMqozmquse5lO3huBzQJwvvaubJa/+DVVnTn3b5QsnF32L7OKW2uD8oywdJ -SNRcdD18rwy+GvpO4iE9vUXVIJWwy3C1Wvk4P95K8V0PX6KEsTUBMb3bFtd18jtQRdHtpe/lSBIw -aaTJLNH9QJFaEnkPbNAQ0C3pI8Eb8RBJztcORaS2/87DFvtJVT6V//Fij2nIN/69jn9OU0r888I9 -08kYhFfy4Um8QuR03rFJiKFR9k6Bo5M3Ws3WKCK4QqgLlWNKw1IA22pYeekJPdG3LOwPBXPVyPhW -jWcg+1/xxt8AqNJJ0oFC08EoP19mvA431zEmDCEKGYtAyu3unnqdmlCOmZUQnSJ/HuIdCXe9KG4f -XoSAH+UFl6ZRcVGXbrQ0IFg3kv7JEwTrU5MGN5Dm1h9OxD5HiJh/4rYpmemj7VniASmoCp4/hEtW -FcJ4+Xqorymj19QsFXOfvY6SM5WT928IUcBX9eB4Wsjs+TFaaIT/pYy3quvBHaMhAe9n9Fv3xmdE -snYhKFd1y4yHbJ3sIjVpMHG77cPFZOjF1T14WbhtyoXGoe9Ur38k/rYDpxMj4jbKNNGxyg6yna8T -eqQrALuI/2VDMh/6IPXL7FR5UStWziXCo2RppXjfo6d++PLLcy8e1pSVRH4TyYUOKsmb6Uukm1Ie -oP0nwzAOE9wXYYFE4VhCYE1mnOciGBCm0B3APOZ2JzgGZY2V0jhYVAwuHY515M4Fl09AQxiA3Mpa -XWL0RV+pUK0ZNE8ET0rOvUJeSTw+eQVn6AbvKkecUIY5GD157ACUly/A0mPsuVYBOVBJwZdo6aTx -jzj2CvS50WPt0iJHDd92Q2iGsl4auBLVGAL0EXY7SwArjbMOwdCB3h8aDEpvR11+sw+YsKXykDFK -UToI+u6FB14sd1LNk8Fenw6RFiYyr9vzLunupWNuHqIDjRWRWfwA8gi/iIAP71bWw4R6/CG00yBS -z2NlDGMrlWP+kagh5L9WbmHiwoRNoXGMASzEmPb5/Ias190HxTQy78GzOtilQIEBzsrRXA+0fOX/ -mqV2xT1wj1oB+FxgD7+Q0NU/dJM0T3tcpbGbfAj7q1Dd5iIP6tskg+l4SIt8Tq9EM53LcGB2JxXL -MrmxYW1+UZN2R9X04+yP6yYXEMz6vxLzEBrMcJgsY5LzEydhcYbOXjyMdtz3Cy14rppSEZlnXnzl -3IH7zGC/pu3MHmO83++vxen6WK2ts3gW0H44QqjPkMK1T2sPeZUT2QnI8o1d0h+TcqV+gA7P8y6B -MIiGj7kRE8xx9wl8GFHOd2dlviV024i4RcaxQhe7A7B14eOC0wl7cJvMjFZBYIv4fHPBygSkaxKg -BW0rgUFxxrGjLsYB8C3hNFr5F5d3vJgYVSG+uLmNXn7MasCOzMD1Q8/lWns3yAD4JHsgTL+kA45y -1fGtytNI0mwGg8ZJTTVcLRp4Bpddi4NmQmyXqoahStqA6ByoeiOiAdhbIEiFe61wJ9QjKejM40F7 -rRDpKdwQ0boruGqkqYTKJR4Mj6v9dzlHfXKGUrY7NtiX4cNn6Ll5VkNRLGCzH/nsTu/3Ab5NVEgr -QdBJo7Bx4VednrPF1fokpKaL9huGg4UEUEv/cNZz7LvvT5AGaA+CRAEqOuYnUaFxAVHfT19JP8ol -fTPaOurD4v7JbUF7Jft42zDVEh+rZ+Bh86Pkj0ab2/jeoXFLE9luWVSNd52DoZoeqVk4QyE76ixz -A1LosD33P4rR0ogBajmxyn3MjOJK91FqVXW782YaToWoQxA/ARLn+grbXKJHWZtIFZF3+ReqLD0U -BE3eZ9BssMso7AYRWWxDMOPWGZ9T7YYCQw/EPJgTSgVhy2SILtU1PfjkkicGO7xZX8+q9Nfxs3b/ -zpLFqoTXUAr/QKvVEuASwkFIhMQmr6EwI3JD9IENBMBfBeTIsKIurEAd2Dcilw8QUAbpyXzJB65J -iYxKqSNYOgKsP9RCM5z2Cw/8iqMAk4noRXY3xvaOvRleSMsSjuGJYxjUkORceBy787E85WS0TuMJ -g57T609wBz9gXkRNH8nEOV0mTtL5DI3FMogC2lvdBHWmGcljYxSsYQJ9PX1rYFh1t2cp8vSB5FXM -WNAN0+awmI1T50lxmcqgt8krhXIG5YPIUlWyASibGRCJfFaHDk7Ge25cyddT+12iOvOCPbMWsi4C -m4RabosE9+ttFPy2FFNGAIuPFQ0rMWl4w2g/hVAk1Qky8MwRxZfJ/SqnlHAP7DMUGKycvYfFecmU -0frcTR5TuLM/jCIWexQa9A2NPMjBm+8ZsN/b4+wxzFOu4i4V5Xe17xBAjJe2J2XRxamz24j2elnh -Ri7thLqZhXmtI0qTuf+pluNzZn7+REKBp3lkLnqn8DmfnP4ZrFgRcGArP9UxyqRT86NebccLqiUj -VZPoG4ubBZglwfAyhsa5tP1ah9H2egHCA+F8TvsMVqZiocthgkWg+Gf5mlFfkcxGCrJJJWYGZrld -zwYjVkf+V381xWt4aAAKjjuIr4j5unLPUdkBEMkP0P5OFSmXxDNrKlVWLyrsNBChP2oTMlXEoaEH -uE+balI8YtBqoSWEaDK9IYdKDSpTIM3RCueoqtYyLBr28Qq0IuqNplW2DxSUMiDGa7MPzzgT6Bzq -WptuGUAvXFcTgo225htHGb1VDhqxGvOn8kaHlR7Ty7HHNDZtpdydgDyimqxZBAtPMja4USfgmbs5 -7sU1538ro8aGAJ4mgQbLRsnzUUn5QDPynSIokAKFXKZnFhxws+9g5g4vxN9gkeoEwAvcPQkFB43R -EwGKfdgAh1MDBYYsPFdsypy24CeX/+6PBkYly198npmIPm6KzT/0PP9uPbG2oJ+JfN+pQhG4bDBl -/ciFAEWpyWbnBWEY8X04CVSV3JzBmRZcoVSjxs1lup5tPZLW7YU7RRQLshqCVFOHUg4sbcFeUXDe -6fZRwyLFwZXSvuQjGNZ6bN+cIuQg2o8QBp+ZFCYsKuk9Fncs3OakJcCG2Z51cvojizK7HLadj5bE -igF/ewS6j9CdSH3hFA5a7PWTiEkIkD9TkUHgod+3I1HHr1KA/Wa1QzVdbyogGQND4h3PB6Tq5sGK -0WHU6H054piHt2MDBOtfdu+89KjdWKx6FiRowfyOg0lcH3q+h6XlcJwabSZjd+kC+tmvczeU4HRN -GcuSkvGl8dfG6nVKPJnIfLNDsLVNc3cRJEb0sW3ArvPX6naNwHzSakyBA7sCqAx2L15obzlMNYZc -s7m7aLRu4uLXuz6E+0ad+vpgzt8g265wcdVkJUGKWQZqaQiCmuPvjatYMuOxZsYjBkSKrGxfeGur -5F35L1+zab/JW1V+3mzS/KI23IrfCodkTTovRkI1snHZMPuUSgKCO3gb9n1ynbJuVaDnLNeLjGto -Y48jOpzRiFVEANCiRKls50hdLmql0keeROJa6+HxNSo+n8oNVR0QbPV8h08fknibprZnighZGUph -fTxfequ94DGnrIkvtk5axXp3xVwKYoEEHqC/WmgI08H6GoZqUVb8xnlHgjoz/OBmkIlxYrYoa99k -1AnwvB4mHkaJaugIjLOWiScjyFFci/Ey+m2BGfQIYIx5aVKzz2HD+46gwepIbidBt1uFCyG2mL4e -N27oqczyBFH6lh/9KQzcdsVBc6Evo2FsZy6MA/4QKiKyOpcEy7xtvATWmdgfWKKF6aLtEzxfRsmS -27QwZB7D3NJ7lIESlFFVe666vaEiLJwPMedrdlEToUuj0Ysl+ZTyDdRQfJ8MnEixQlIIq4RTUVt8 -ca5h9sUmNAKjCpVn9rpL2Cs8oCm84cCKoQQywTpv4bn4uXCagYHDiIy1MrL+GfmMb/0EN3GCwZL/ -hB8BvYcN04gdZ4e87wforVe1XatRcnhOShA7oHffCvZHAyr6ZxcV3/ekIA/YtuV2/Bw8OS64bKDi -lHJWKv90IQ21oScb5Ei164g5eOO6sAkBJGdq0hlAlNDaKO3OBDQIWnULvBRhmvt5IAIOQiYDm+Gx -dmY45Zgv2btdIGq2prIVWhK4CDHvKPnIX3OnTjMjYbdDz5Z1hDH2U/jkIBHuYCyEggr1/l37o4lF -xA2y2zM2b9PtCbLfLu3ONVF+k7FrYBxoU8yvefS/ERXk4G2sKKZjNZ1KRObs9FdKj6225gdgtvqr -tH/dJwhbkXHfrl+K/HqUDfz1KksnnqreiENBN2APnuNRYyvoomwt0dhXw74iwnnvgNY8PTbn2/Fb -0GeAWBZltylE8RZx0VDX/UTHtUtB+HRyTFJpvWRaHHMMfx3e/5Sl3+6K6Tci6Kkx77vY1XipFO8X -La2lBjj+5eIfnART6wTenx+bmQi4vu5lsjqrSzG7bq+TUSp1lwvV397hiY1bOQ8r11SsepofxuTq -AkOahf4dT699/SadPEvZXxC8hlLKnlrL//hMMrzoTZtiunbDKpJkeQ3jL6EnnE4GmE+WTDd2z6MX -+BRJr03Txzd0g5u5uZgKMr6edYfjVIYyRSeAL2rwbkAuQ9GpC63qr50c3I6TSeybEborkRe10MWs -XUG/Z9qYCa0OHsple7W4QsjqzLhWWiysTauTET5scN70u/nIzTX6u7GeVWmpBn3BwxuB5YP3sQCU -0o5OrC/JPKmpp0IG4rJEmOcPcAg9y74GlxCE0v0JT5FgkEgYV/8XkSuCY9N8Nma5i7b2GPgscDzB -QjhKpvrjJFY1pBe/vvDgs5UIckMBIJJYmpCyfLEe4PKyw51nrJzMOSd5M0cylsNT9vgmhP2lwKCs -67p3HPUCcVTLbvAcR+AAAxbXHqb8K0jJut70HPVFpzL2AwYDq2rm8urYz5Y94Zt70GN7VSMN50sL -YmryIbGSPl0DxcKpO50pYACrlYQz9uKh4lvcsh5QM4JMHywteruVOWt9Hu/IRY1/MLQLJ9siZMV5 -VahruUfenGbF0gEVopzVWX8UzvJuskkV51UZsMONnHqlCqh5usdiTdwGMLW6PfvcgZMAc0jZtSdZ -ouSWqdWXvTuhpDIOeN/6OpNs7DIToWav9ZjsSohD26N/EcS5FtsWhaar4tEoMT8SCgVeFG3HYeBw -FEfLwovQot5pfkaOCbLDQ5SBqoSDbi3YkqVmECcg/VOXyjuJFXwUP6rn3j26NVnvi2jCukKj21uf -v212VYn3kBbBzyxwT54MkjQMzRRkUa+9rHTR/EElq0OVK4qRfP8gGj8/CIShqUoWzJnq7dR0BboC -JcNMoZUgkunqJlrXnLnADkTTDJaBATeO8x+hYfe56HyO3Xq0xqRGFYsJ6y9tNti6k8jrqH2aPImb -UyzvRT6Fpae1kSdYr3KFX3WmEn6Hp07sEeH+tERrcbakQWwkw+OOZnV9/EZwROwrIMS70uBSTM+A -InzIeKKV3WsFO7lVAd2W2gxsWmmwUG8Id0cnTxiDcNnKkhMW9LyWm8RwME9RooVQjE3ckoRR21Rz -XfYvXH8hpcXIlxONA4WeDBxzfLmHAhmPFH6fs4DmbfeFbK9QNrVBIH71+QrwC5qNg2UCiEBfEON9 -AX9UBddwWFcvvCCUC3juk15atjNBpdjTd6UkyurPUQbd8/TQm47EIKNmiWfSUQxgKPrBdZPmy4nK -8uEXhiIxpWKvLHb00hh4xE8p0cOFWrY1xhY55X8Z7uMKpMVwZm8YfQkAaOqRc+R1GKmhvRxsBawU -V0qvKvWaijfMiSoo93DKWfaB1x93tDeMMnUBXlYrqc2XsnGebaF6JqYLwFnLEtYn343b5Km7NnTe -skbkQTUPIlaJJfKDGeRBMkd5dtHho/SjVaZAeQfdSUuOq7LE4WPH0oplCl7NS42t7C2BdHJ0DEWJ -c51SUvUVNnj9J75cRDadCC1rh8Xxb+C2LnplkVFpL3VCuyGzSsyJUzN07NW9gNuzM0Ia8PMChJil -h+9ptiOtyynHVe+G3Y/oU+WBSCZitIYe74hgESDPBhv6DHkJ7z2fIyvuw/i+sgoD3Q59lREQ2I/D -Em6vdQxkLJtqKo6QL5lu1qU3pnKX7AArQkTpnXJF3dW0nugRLMxPBFVtnx6mQ5wnLyKXHrvNcFzi -5FVMMCw5anPlinYhZaZAflGVuGx3D4tIPJFowcixYyEwoZvm/hLz/4JRol9iMvEhAhe5Kva2S3kO -+yOeahFJo9iXFQszPkv6eKmkiFbmz5ZqUTojddmcPnYF6IQjtTb0Tymx+wDRKYOYmkMnSAlZKXDL -JCTPaRxam91VxgVZ2iL6ryXJix7NQPUNvK7mSa6dnRWIvvxp0PsEadARCqBmfREOKD9YTWaMhdtq -9YNKpkbpEe3ChctbY4vA11E/LocHq0GYTZvRM6cDVDaYFnv7dZfHYFwFDCWNVBHbtAWEP7d5b2fH -vSsRvx00AreJzWq3CLUH/jwZRAOnh78vUJSIdP4rFuEltaZX65KRuXJyLGpB7NE/Ah92fAIhUeqF -IEmC5eFURqzBRMG/dF5WPQ57aFhzNDzsBXPpsb/ujVJRY7kg9k24QxjniGqishgmYCnWHPhzPbFs -p24n2O0JrznWF/BPnQv4N3kWd08lZcQYcEs4e86aWIAUEaTVkkRNVtfovyrZDEpur8FIivgPZc7m -amNdlA+Qtys0GDXjMQVkHyK0mtcVkSancqPbt15b6qKTyAjLe/pvnq8J8GTggThxLDw0OZ0enwgF -diiFanOr31tZ2S44jYDAsfCGhL/G1YdZOQ3+iIfpY8S32u3bzkpOSHXhU6ZjyiJ/d/vhWya86AQl -sks95VCezOrsGOeaAPjBBC5+hrJUBDXPYmiu/A76rk6p6huMb/B+Gab+PdldP8V+kzH7cw3I0QlQ -jTCvTltpDgtjCX+/dyRroOSK3FxVyntMj42h3i8uBmAySSlO07ut8jTOb+67y3ym6h//nBN3RCOb -tVgAPeNkcfgpGqKrx07gnjbUtgg0afANSFHH4Hn2oD+WOXx2ovSpQooE0uRooe2KcXEkV0Zf/uZu -y/Fc+KsuDawUC+MEAwt5XrUFlc/86AdUXPXitAXKJIdrDvThGOFvhu/Ypf4YVH8baJea8Zgi7lWT -PfAIwpXy3hfx4YQFruVAkh0OumGhD776AF338rL7WYfwEJO/ZsAqjc9HMzUTCf5jYWUbbpX2lie+ -299CvsKtbcoNZwJ5IshnYqLSbNpjth+wesf4tMX/XczQoxZStVo3tmtB2cuFhQ445t5DesbvvTDZ -ZfmBJjKaFAacM4o+piVWuURwaZQk8abq8H1sYPjaNYgdTG1GwCBht7o5Iu+cfoBuTb6g64oVZCT+ -5iPnjU3Y0uvVi3L+vg73HBgUg1OuG3UEshOTGWGvkRCBD4wYzwBvehfdR9BL6bUoqrDmrP0hk2gS -jT5M6lasIxnrhvXn/HOb5r+POR+ZUS8Ibb7NTxTRB9c0DLqwBYnSx6WmzZQVehzvOyeLRSObdT/V -qtxCvXAbaZOoplMJ9bt9E0WJPC7lkC3u6xH43n3XPztw82PvFvRQBMX7hIxzK0suoV4CjgOXqR9z -TGBeLjrC68dpi6ZKpvlRxMyjgk9AQam7ekCpno70t5+qMBJ8bRVM/35tb9IJWahHfjtn2+fAEwxw -by84g2rcSt9lgMCd+K8hFGylVD4CsyFT/el8zJ+5pqeupdOCuHqax/zzQUJXq4+pY88FOmbZrBOl -LaLbSOmbtneH9xK/z0UsIqVZqZjLByGgzWXsH3nSIt/N5j/OQ4n+RxyPlaZOvKtDsBVf24UPsKnW -R5Na4/or+YHRfEWUZltk+KR2uCpgQm4OoQE+G80BqY5qMQRiTicA0IEhkidIGyRiM/4+UJ05sgY+ -wYJDnGAPNvz/h8FVE1sDkyBgFBURXxqY5bNcgjSfXVJma/3VY03hKIJfWZAY5OThxZTKseQhBxC7 -COKuuRATfV4oFMyYrYWswi69V6SYX/reFtvWk5MPy3Lr4T9WruaLGPKpKZCxZdWfcG458CJpU/Za -eDyBt2KgUYNm8DipupVIeiwAOSGfCqVSuSGG94VsYFdndGfb0iDWlMRo574A092xsU/nwlUS7nn/ -xxNQd51Xiu4V1zYb6+ymnTVAGVdr4wEiETmhZj0kGY/f7JsUFmbxHLA5efx832ZpM2pV9C2+NL7m -9xBzUfDS7dnrZfFJzxrh8gXU6twtJvoHHiTh9udkhnYtBoqKVjNfTT2VH+AfVlcJR1LyeYtiqngu -lvTVIZA0Obk0S5J+JxF+igMofTMyR7wQYYydEqXz/9RCmUYeUoCoXk2H/nWGn/Qj41La7CdUyLAH -QNO5BE/nJL8WwwES6CtUYwcecdtydRDwq6nsxQyUQladbPyIygmE8VCVR2eBZnVQzwE66v2JhsoZ -dVt3UZ5QEPE9bF+YIVskGyIIHqvmCAPo/0mojzqSoiA1e+O/SXY2Pjhok8b4asRFxjLa5mADzPun -MuS01XaYgjdYAMhwCCHgTIXZuQ1Y6kw16si4r4STqWmr66WWJ96K553d0T4k4+1q2dVBqs/nZ3sE -jr6Xmryw7Rr76AkM1LX360bveUIrLx5eGwUHAx6QC0BE6SoVVNzbnqZBE7g1bZI0xBlKHQ9aspXp -TRQ+I9eGsqmrwJPLSyIjvl2emyrwCih+zDVm3PVtdKXfMaiouUBJODenliSWrn0S1H6/qpazncAl -hD7FFkuy23yTKso1KZFg4ZmG2dpkYT5jatxt5moC1jsfvP3Q2BP/0tNuIpg8bzoP+RwCe/k3wk8Y -vKL/zbRj8VLNQLIlq3jMhfrDwrOmsbNsYE6/Mqp42fOHspUORXLyqyPYLLGm4iYP8pVcZQDG80qS -oeHtVSA9HCPDr90JghrB/BY5Iad5qe0sMu5fVAyF0Pp2mWJ4VUId/L1soTrQqTj6W40c1yRXoeN3 -aSEXHvw+j7BxBc/2Q9g7e8kSteHMOnsVBeAqVKYi6Vulem1Z9Rs4cX10SD/Y0Ef9ytmRgV2G8Bo0 -Csn+E6vifHC1UCDi+ZfBHceWgu6bzM+8ImqTwJll3YphpPvQ0Fjc3QiaLmc9uqzmvq3bdG1Lc7AV -JxoJflRhByVCj52s6K2pvsj4y9auC4Rw9BLcvwWJcEnAWKnNI1q29cHeRMr1nutj0SMaYr5qdkil -eU/HQ0EjoFdhAMJjM0Dtew9Vpwws9oCH+US+zpcCJdWfka/uv3SHx0SEImBd6NDQSy0puINqO/GM -Qc7oBb44n/Vm0lMoORMYVw9vkR3AoAOfAJrUe86IZfSCEBvLt/01+7r+1vjg9Y77xXKRePST66A2 -bFeTxicRTWxEP0CiXznFA6ljUIdJlOqzTvDuaUfblE+AxWZxx2Pg4SKJie0PpHKG/tFKkEn4gzwz -yTsNdMB/+S24yRWe9578Z0/dyAwC8ofvfmfecRLcWs87BThAxP+uYaw/S+8tUqaxVjLdAkremezw -rH1b9tOJ2daXgU4dtCmzTseacyzaFhHooh9Ji9O60hjcGlzXppMsN36jLFA3VSeIAj+rsGngt/WM -DasD2QgzcgZU6BK9vC3VcNuaIxmjIkRHuoaereTTpsU6bBvzPJFeVZTLr3nKbwa/6P1xipYUmuy3 -E6/0Jyjjvyu/aTaKatpD7rQL+xVCaTW6BlztO7XJ3/8+yJzbqFQe+OekUsRnyTR7/1ukDHv3/D/p -b1ZIDX604jmFeC3OQRKAjSF+NJayPAeZHX7G5PbqZQVmBuaFxqV6Vlo22oyDYRq5Qw4MCWssuJ97 -azllC+4lrY9btUHYYm47lU9iSOtJGXBnIwYPxustHrDA3mzmVhcxTYYCNwtgbFqYtfmYuSDnUIq/ -pBH83pnJgAL6dmVXDBYgyzLGbW1KXbIdAVPwx/XoLKJKzWhrGN2q23wKHp1rnZEMk17PPx1qtuLR -jYrLOD4nzS4YUvKv9bjB5K6sZb9qgpC8ENrFe+sanRWX2WcETwtpmgKzLfOELYJF3edunyI36GDz -1WccglcUzD2J6SH9gIoSL6FdhFOtuoLM/d3wTfCs7B5uEBI84zwKbiSTXOE1TtePkSGGmFEAu+ER -SbAxofW2ZSZqXpjUNMEcVywPP+7964Sj+r72Ol8jIP+OHgAjmIzZPqDLxO0CkujultnxxBKdxIzz -c6Y2EPQ7uOO+wGz5AMSlTSgwAP9AOHRXLScOEwopUU2oMAVu63s2Fgo9ugA94pyIX1Z4EViY+74U -d1HeyPSqzKTdVZAsCcNNqetEMV8wlGKp6L69cL9WVbmX79XbfBoNs0lfEpbtDsFQb9M+fiTBUtSf -BdjlaVTJemIit+XDP55NJIXg89Gx4yL1zvF6iCrUPXGi6RQRI0gnMdqa7Xm0iNBrkrxTZK7gTY8l -zXHS7R75w8u5tbhOiaXNJ+n/Qq0geu/eVwx9nASMYMDtQOJJPNsom7jXCn1wtj713x2fpXWzvksw -PUL7B/FndRZTJ/3b20huJeuA6w/rKvdytEJcMifQnz3VR3e+OMRqjeNouQYjB+j9aP+13uBBfbcW -jxtm2VIIw2zlizQg1yVMySSawBQ9FqDpQ/zImqWiHYCuhW2M9B/tO5IeWTry32K5w1S8hVtQ5clD -q9MCTPHHkKWPZjOKCONHkpt5Uii3EGAgEbn59yCsVp6NiRMtog6wBJGZuEPQWyj0nCJwmZkb0ISz -5WI44P/oxbR8wyXEgoE21oBORlrUpYdhflCHMo4mRQGiILdmIl74J9avx+81cFFHU49dV+8NGAWa -fsi82mUiL5m+BpxUmy6MB3ZJRqnXn+Stt6C9+5ZD6E5UnvfdXJAEI5Nw30CZ/XuUYK4MYcnBDsUq -3q8Kc6kSU+HtiFNzBIizw7LJB0O0dtusOvRNeiHx6dx1g7KeXvEiFW2z1p0QZ70qyLOgTsTnaKsq -zM3D3Sb30cHN/r2gR4X/tWDPhlHjD5KNe13Zb2NxZTSAr7eqe0zp+EUq3iuIToxu2Ksz29RqaFWA -qhJw+/Vh61Rf8vp7Gl+/BAiNVZVlDgvp1HEXbJ26Io2rej071+AWNyYT/smJf4TkU0dgIiL0xuFm -yfdFhdvElYE3XZRfBCfr8C29wmSvL2rl3nbMP7OwJTZnXVMvIXDHnBwKh4pC6Bs3AxoJL0c0UIzR -iXDaV7qJdTOYSsf6CY8A3A0Jk/GOOQFVAjbcaPVkJSWCQAxIObXosCQRfXqv4r6G2+KTR3wCnlmm -HDSBPLKIt3WFDCa4durDdbrIYFrBzkBeWTcYlodMTH9PeuQHq6Yq+7oskOgxrxGPnBRZwi5OItmD -ktjfXRiZzNqxau+dkuPzRwiAvrw6DHI7MhhhVtxxCjZOLFfouvD8ILHKjhg8lceFtlnc5VEECdta -Czfbl77L965NehInQQC6jelbdjmBO57HvyuMT1AG1yGePWH2OXcIxUTb9cMeB9/4JmbSj1gd9SXU -0SV8py9bWzYMKz9XdQCHoWhlTuQpzISVXNSfhGw0xUwZ6p/WHW/vBrexa6IieDQZUz3Ps0bu611Y -SfJ0+5h+xmg4duFlPwvvGxdMhLIZPPd4gmPIWrOjXsCnxgDgywNM7FyXJzKs90xXD0tBd4/7cHqI -/7OWPoDNM7PvgYlHY6KrxW0ckS0u7/75tfxABeARCbambjpA3d2WJrM1J/5T6+aEYk4PETw3DYqb -QgYGNu0Gqbo+fh5BOr+mWpub8Cga24sYdIOGkV2Edz3BIGKx6HuNLDkfSqKqX9oJeWJvTqYpdaAO -3Px5pSePoWc7NO5ZjeDhprlL511GsTWW1+m1FQNMOy9CLtQfnIupKz0w8ROnW/yTp5XYbubpxquB -zqsv4VgscfLauTR5Izo1HriaQyBByWCJV9hkNEFL2p41Y5zJhCjpSh79a0yOC/UuDoobCBMBNFX4 -DJSMYeU0Bytq0ikYROWrS+q8zs92QBcoflSfcFLnB/Rj7q3xCWVoZ7zTEACx0SABt6rX95jTIcVJ -inJKc3inDlm3gIQwQfm/KsoFm9I/Q0tcW14xGFibLqyuREFiKpaaQPqMYfHngSxpIQYn31nUeUR+ -eUTC5UdaY4fIFQJ3fKd6zItNdUeUaSoeg2B0Zf83pGr6ZXJ9SSssOKtWKJKnLtdeNZW/XP4ESvtv -qcuCye7pr1XTtpO4C8jF3Rb3cx3upvdBxnx+Tob1CHX3nIyqrHVbGHi92k64eBi62/7R21//fNWL -pBuWqyqVeUfe1bQmbD7MeE4v2T9/VgUXkzhj7xVahXe8wkksR9JzIfOyCta6wjWGZPDgVwrnaoWZ -WZs7ByRBqP+HB1uM6JAbAzVD7v//m7K8K4ZpDq888O87yoHkloUwnLoC8QQaPrySrAlI7PY9Fdfb -8oPOZWK/zQkXiZMboGaCT84yOfvMKdPZDxTXq6EoDVOFdQz4zj0U+4AoA7hTvQfzSBDU2G6jxXyW -ixynTuoVCKKMnM/DkoCAwArjL4Gl+kLfLmjuH/NnVBWXLEMf/2FU27FVLokT23u6w8LroqXq6gLw -AdSRykI9TIIwoa23ATU79xep3UcEI49urtZR1Fc+coXeiC7xYhTfMPRzOkgMSJiXRaf/nFPZhY76 -3ii4t26+EVRBxlZRKAqbSiddr84oXctDiKAFjxmuWQVY6QFqVhvj33F3MxwRITtKOcakRKT6EQiB -TMOUaiRoIjxhuQRk5YC55ZAWEJMx0FgXYC503dOAv9olBwAalwU4SrbAPhHq+DiEv+5K9Rg9lrSw -8Mpe2C5ezS1QPKLmecVg5gIxtM6ZsUA2vOAWkCzTbHpteDxsiIznG8Lg3wa5IsKh9GCXaxAASQ+b -1uSyr5yD6Ped7DSahbae1LVoj7wGechw5jDAstdzicwWJSUDyNUrYlWri3mWWeHvdRdiGxJvK8VW -Jx3EEuxCUaQR+JpbgpmezrdnkRqXnTVb2/iKBBmoDPhoNn3UoBQ/HGIBBmIM/F+W9wsZjL4buUN3 -ZJMn6RQ2xF8dqJJf00l3t9H1i4O6fVcUVoqQHmax5m/95AqU21WdD+xVdU0gdUEkW3rYhM2vMUF3 -EuUiYh15on5neLJXxIPqRnW45CEGuopXlrtzBEbaDdgNFao2t4wiBCfMFexjOVr+rAk5cVZhJmhh -RPOo/wPRp8BAXYP53qKynMWq68L/VyzlocBxNcg7AFzYT6uIis4cUAO9DkWnEG6sktc0rmpKH79J -Fu/ciMh4UE57Hf1pqjcrbbgfLjPiaTiFgaM8MlYLiM9ISTwZU8mRJvEW4vpLqFjTzbt/udv9P43b -dPrwD5WEOVnPCPxcI64cfq6IaSN70u+tVvtws9r33nTysgUEtldh3su/Rzyk9d0z/Ds/zpR9muyz -p+OXKyZlW6OIqr3P/rWkmXZARKFqyqw9ykd3XIgtA/RAV30o1ZBJRE+U8FzCNQskULAfmSAEED3z -fWxws/g2RkEibkySL1QYsUvvWZbh84j8O+hdt1y9s/kjuM3nqM8Xls5FSayjf29afvQJpxpU7FBx -6uOACdEoUz3ws1dqkRrtlJbZL6t60o4kHejj4R+kG/XZh96QYn0thrMXlbbOkrl+9YtEYSulm4Re -AgjdpfA2B8e0vlVazOeDnyXvQqHQM2+y7mrvOWe7ie8V1UIZavfZBJsq3qQColwj/UsExZO1wD4z -wuWc7n+q0mBvBONykFzk5QNJLjwsd0I8eFUURKy88CJq9R+ax79mykj5weZmszGO8OOwJvyXWEwp -FMiS3+E3gQZ4GFRHY9vIq/ZNSAuGTK2NHhPrXz9WrQwfnTG3bFFLXpgrZov6vtQDJDGJ5gAWjOUA -KnZry4dJRFh7QCo26Ub05eIF9UEP8Te+ylgITb3n2qLIljSHBDl0fGluDHsSNqhTofst3K2GngT7 -vJwS1lemopIJsWk2GRUaTnDMvjWoxY+T3DRRhyismh4eC5AtqyjZlluwHXhhGJ+Co7TN3d64JAD5 -BG5bGWr9GYFy5S34kiPKs/eZFXpKCJFgwQGVVFse+gJdze7KuHtrARge/hxgWCAPmkRQ/fCFc8L2 -DNEQcaP+zKFK+1CPjZ87p5m71EHP0FXNmUSo+b/NrtXjFLmVWsY1FBDLzHPw/r53HWHQX7WAKCMV -4L8itdNsUEMIAUFdMA9c3xwQSRu45oa5pxOH7JFqOI2CYxjz2JkG+O1fGzq0k3vrypQ+p4vK+A3i -R4JjYwC5sCqFEbogJIINfBs7N4XBvzBLW3qUvqYCUdvEXSRvpFPVchLleOFt/0Ar0Sy8bLgIApUv -HFmxv2JJ4JUZBPiyPJfhbflXjiZzY4nOipuuRn3S0DNW26fjnAwbkEPIlyGrDH4oQgMxSjJoGIuJ -1GFTJ9p4sq9Zb+XTIKZBcE+6FmbkuEVdbMsCDIkuAaApt7kAQDkAECkNO6BsnUCgv21hukYxrS4i -YzWz2DkVg1oXuyQk3BsEBItrqtSLe4aGxxMmd5Q18LseXlybeECGMc8UJOyZffYyr9qgMoPtABJL -hjUK5I1ikuBFOacDz5gAw5qkjLY+A/H2xJgbatuaKRl+QjD9L/ge+/B7EKcDqYKtH0maZO6MwV7r -XMfmsAk2zwIeZ+NE6pMlxA/1jhbGOBTMRVxJwQGQigEXRVsjghj+J4iTi56qTDFsQhBTrmuUyRfK -Z2voR3lzW5pIbC1PgZuGCIbwo5apFRgKXP5yyq5ZPTNBY2Ewx+Gw8qhyVo2gdHQY2vQQdFZYM8vQ -wLuzSqlxqYbef24qdRWfPKbEn25zN05DbGD28th6pJQMj0hg/ak5W8Wm8VqHtMRQdxKUnMYogaRV -AO8nra1xKUPcEmsnY1tj23AlN4BLKlpxs1GT+IA3Y7MPuK5P/l/VE54DztmYBzIPsLw5v+6Dh/XO -lN9pAXFScVLU0gEUbumZuyBeLYIOtIMCyNqi+XT7K3cD9l0bCIT/CZDDnOlfO7OPmXncaCgK75sC -sUFL9GFT/g+0ROh0AygZfcPXwt4wuKiom0k/ZoC4xZy3IoAO345SqNag8KmpS1+x1Jf/asFBiWof -lyvJYdCmd4zBC1WjJk/67raXgfb5iH6jMG06Vj4fPig0Eub2/lpLI7ysVpSBeVIEzTKajawA+o/B -MQ55NcsQrI4ud4qvtlAI3f5Tu1dx8C+AryBdkG9dXr9DAMg5qR98jrR6bNP6w4CDiPDNsKqUO4CG -X7wp1/Y+kFoP2T8dZDaybrEpZv42YVxyKkfCg1MiZ4ED9NBR1Lh4s/H1JXlIdSZLaX65kDKIXAoY -XoVl0DO/5pX9xvwk3T/GVllvlOAUBquXjcZ/4V8fjcXLjHcf21XkQw3eRHJG08ueG6oLxfxapY6L -5yQ+efAtRrpU1I2BTzhFq2hhRVvGAe1zNm8dFLDr1tb+zxJ54obvVbjFwclKQ8fzwpV5fq4c2zQw -WKOwWHQEUIZes/FacHTZNQxR1UPG6Jb7Oz9/8v+7vpYG8nXER3Gg47YsiuDga0kdmK7IL7UEBi6H -K1sFJEsFZIoHnRfQT7zSyhrpQ/584RQG93b91Z62GZisGf3q+wtTYa+VvYwF1X/r2YA6P9GscEZu -QEeCHzCXbbsT2j+j0F3s+mX5XXRNa/FIiZOxI7oBvLpEBi6TxdO5D05u7IsTngVXLXVAajJH4pm/ -xZdempj97di81pdMN5nHEdqwjx3gn9JKRBqObChltEWYZsW1/EvBgrQriSAH62KN0itHxjuFPyqN -LXXZzbXh2zNtLTMl3ig+lliltAX1R3jfHC/fH00+NU/Hhq7Q+xdAw2rrHqsXR8tlRpL5iLNpvzM4 -ELF66Ty1rw3zkJvbeVJsSlJjwN/yXrrVIh1zuPQ4AevcaEhD669cKrYP+Gr0NrWxfeRKCm9wWUZp -bNuO70G4oUfpyz78/tPXAvG21Mk9yJLrqTAPMt327wO1ltHpnkWx7hldeZ4u8NHOm8k4+P9Uq4/V -vqTFL3edGFWFSXpcv/yUBe7rMLv7SuyzOfJWF85V4VJZ9+s3YcK6/7iSBabD0uZpLE02GtUI+SQs -DLFby563QyvbkdJswN/G5bHKt3Z99k/PgYbna52wTFcNKbMJCO7ACD80vGVDvsHT9tL2etZFFBFQ -83ejL68PKaQO3u9u8zjBez+3uPuAgWlQlknuZ4y8g+Y8zAuYUGOpfawGOiomzJ/IJefea0+IgMWZ -oRT8pFqtlOxZ2MlKA479Q9H53mtJtdD4PXMy6Y2Tp7N11SFr0C9OAm9w9uIkDBXUgS33RGqwXpnh -5yfVzhtAg7IQB6J6DQBBU/pJJGyz8MMjzsmSLFgFNaPrsUglll1HQSxoi6R65H9NlnvpIoYYvG+e -urtbhHA8gaLtFmE1h9PjPQeHVR5wgbjbAhdRrJPzv7iVyNtUIe1topABgdVXomZxUiO9E0qSyQiD -bg/rmcSr7EZ5EJWgTv99B8CDARKjN7eXuJmArEB1KwX5yrpN0a+6+KGbE3T1sOQWLzKbRzaH2gB6 -iRAcbwGsVRgOqd15lCC9k81MTHA8XvnEDl8Pok+he68UxlCCX7uq8vALYEqxXSfNPPljzj9UQ8u3 -zRvWFOZ/aOMn62pX3hXkGXcv3uC5EQgQ1P1izy9Y32ElGyF92XmZENbMIr1I16DzPxkWeA6kK1Vf -H2pUXteSm+PkiORFnKOvKJSAKtwkxcncR7hw1M08u1//wH4RWo8W2MY+Zt552fExr4LwsnFGi30a -lAbj41NZTp2/02loDr/qmj+Og+y5CSF4vt5hVA2Ltd9+Yu0B/jwE07rY8mikDQv5qSpHNdyuEiDJ -l4q1TixscpkmnNTdPes3oCG5Yu+WISqPmRifodiQhPjHT09FDgsIYzgS3qMckWBDs5Mbwx08CF+w -H4lMfp1GwPIc0KNi3DQJajRC4bjcING1Xm3yBX4d4p4pYdG42Ad3WrxE0JNwtCo3fyL+/h9+8lE0 -D7yda+ZOHRAwRxw9MBKil2ZQ5vupnDc7L6mg0nCd/qaFk5KIrRNnUwxpMnaKEqjU5nWT1qBlKeY5 -jCHmNPImMDXdEf/QpkwI6dSxrBf49NyZ00V6oBb7W/3733uGQUl36IKENiPeZLMD51/jxZX61isW -zvjJMBD57jjah4PfZNxNDK3ZbzeRa8ctndEZF4Vb0v2b/B3doGv13idm2B5OCT2XK7G8ffthYZJR -H+9RWK8jpYIDRsAE+6950gm9LXH9zXRtyejGDahF6S0siWJZPoIfEanSih+iUXABA+ov+vhIPhHx -aqwpfxPEaUKOgiElqmD24u8wtJj0roAkQc2fNIUrO2bvgjwHIqq3aOXxglGl10a8ex49tC1vKXw5 -mhVDUEhWAOsX798tXDkyyrbtC2XOk0FTKe9QPBgPgGnH5teDMWY6sUViCdnXSqv6WyOJot9uGL7y -m2zhM1s8yKRl0o3jIqKhcWDGeJ73TUo1TNtmmZmvBe4ikWWnOgeWe/zj90t0T5D+skAvntKVWmvV -31KMO5OygQ0Rm+Xi93JvnL9un2gbMv/NEfOz4zVbquLsy1L+9M5+Xn3JYBweuiXC/oviEqecvZuj -cIgJO97gQaBc84Hjv9OBv0cdCEbX99I7pOv4jQQtAHoOr+hkQOED7qLxIs0EBlBWhzwM2rVdXTth -NOuN09m4+IpjCgAvKpk5PIG8nCJebE7sLEoRuNmpQWu5rX16u4czg2M+TSr8BcsHVXmVM3F51tjU -cVI1/rxFWZb2Xr9shZzwY3kLEIPm6OzkPoiL24gyn383djCf4aXyxoAimZZCNV4dhbtc7z78mGtx -6VOlblfTSr3oV9Az2nhV+KXk50As9zT7K/VWhN+mwVr/QL4zw6w5RBH/VEiZuIShpz9tpVSGmgxu -IKdUfRVKMGJoRJqUoeBoX+mhjGrn+KenTWzPs67e0ymxNYk88+2msiws+iVIhKDQ5m63FJuBwxhO -t7rP2K0GmHdf/t9c5xaBZ/CXbr+Og1hXyayc51jqSdvHhv94qvYjomIP6fkxpno/yZzpqogI9fn3 -NxqqRb2D/oZRBLfeJ9xbnE/AUhgSf/P8cjdshuElKlQsx9N4n2HPysxkfleqalxLL/o2BUj8q/iS -wKhppCmpI6SQBDuCGzKGLjxTHIoCLX464UzAcljUdT+HN+qjC2HNPZoPSDhIMvIXDyLD6YjxMn0x -TgFBsKZJfXe9snfCYT1tQXL9QxWasCcCQKX4vUA0fpOGT9sUWQAILuNZonQuZa4PoUJeN1Ue10Qb -xWVDMEqzFF4BsUTN97syYYewykXgHeN4TYwu6P2fmn/oBMo8K3b1lZtQXfNg6dXLr9N5+Rm/D9Yo -BB8Mv9b5YEI/CQ5XQ8tSj6551jbn2dVC7Ww2FTIf3kO9NHjI7youYYeSix9JZH7PR8zUhEqNweiV -tUjEEcMtvKcoh9opwrBmtSEqrOUOaa4IxAV/3UrxZ+M81yc0LWmUnwQrrFUURxabWgBOFtrVGk+K -hMbVcleRdh0UovC24MLUIY38XBHI++aMzGmgEskn+uI1gkkJULqQOFxjiv1xL9qV2L6Sz9aJioUS -IA7LXC5NG4J9gGM09zVCUDITlUSKAU4NIYRMxrTpK0HTHCq3sK7UKtvu02zmANL3/pFZCCk2y1VQ -ZVdwO6o4BKQkL4WnOilddvsYLiH2nUGTLiN6Ptla0aw8PCzCSkn+yvTANxJMeV1CjnEfIOAVk/YI -SimnplBIsZG++S5K6k25A4OXyiUj4KoWdmcjbgZHiqao6M3fIL96NRSHCSpYus+EeZ3Hf9Tyl7dQ -fisQwmyHYQb7i04F34uHUMBRdP2aL6+RHsjh0SJ2+DjUJbmPlBVjxVfkv+cgWQX6SZru/fTRPLi9 -eknqhdVE/H3Q8YYB7BIJGV9xNuhk76IlUmQKib/QwP61resG0MOrT1U3//hsQxLom5GFA535O0sU -vsGGkLhGZo+iIC+n7aQwY/VSednr/tsw+N6zimmmNsi8c7+5rJ9dkHPE0PSpXN2Uq9JG6cwByhWD -eeQuULmxsm4iJCIDDNB2oWK9xGwfK4A1PhBwZD6zK+A/RJBPcG28cu/sGSTpBXpyiKDxd01GccAW -8qacjpkPx9gdzJTW+gpQL4Ey9Tu0Tpbawe4MAlILlqDJdcWZo/RusfkfZynoSGShy4jeS4arAUEs -bvwkU7NCzG6ihw6QrnH7gE7x3QAiW+H3BMIm/LGxQpsQeqH2IVXJIW06q8zhxGTWOpWJVphw8FIR -dPgDGQKTAWTXyP+8ZYEZDiwF6I4CBVIwgQmql3P++4bHEwihAOfaa3j04joRmRBOeYeiM4W9KKVY -Qe+zwYw43CCOIg6D0zFhwv6BjE/3z7tmaUklbufrqv70vJxz2Gd9CZgtdLDivCh56esAquADYQ/s -yniVjim1mRddll2PjVE2us1dZXt0Uq2p/0shFfvjLV3hQYdn2BYUiYK064JeXpftz8N+0R7wp0nA -CkwoaiaeI1EPf7vaegFZ9Dg8AGeU8XxqcCwsUOvHgQkFh94iJfWqOdVhn3qdsmBhsiwwj/VAAxtQ -ixDSKPXBYymg40Rn6WieQ0Svqo/ITfcBV/Rs4CF2NKTlV5sbsXaRso2faTvsRiSCwPlpnd5821VJ -hltzGwp2SaTGPEHZQJIsFWuEGiuoZOm+xqfMLcCDV9bFWshPx3SSbufSvo0j406DB5P2IUZ2Q57w -jnPg07kBsI9qkU/op+vyRz+rBQEWW5r94y23NMWISnjRI16H/Tm4cEyijoZSx6XyF9Rgds9sac5S -h8zE27j2OYtfkP42WD48Enkg+uqIh2j4A7nFKETd29pzSUwr80WAQ3DawA6VQMqkkZZ4HVM8kTgT -OU+otdpCwiS1z2u6XCP8eBrb4Cf+zHu+VTJx7u8atOQ5y6g/yGg7LEvL3FSW3hV6Yv/mUclvq/F9 -D9hZBhYIwg0LFuQhD7De0mjRTWGTszOaqUlhW9dheI/JLHksDe9a68AwrwZs8QdqD+9EBY70GZtn -+Pr1ABRlUXzmVPYkDSliJR5/61cWLmkTZopSEFB13iqC+frAlAgFGUNQb/RQPtnBMI1ah1tUaHsv -BXv8DhU1TzwIsUl6XvPvqPvEZsZtheZWPaMVZePHdQqMTgGfuhMC9synn95isdW7ud4JaQnjDa0H -VnanQ/SOjplnjVl1nIOl4SYgWR8OJ/PgFL0afyO5N8C9WJwDOJWHeti0E3+C6Yes10TAdFXZzRwV -pN/xFvG1Smh4vgaBWIGm9tHn/Qd88ebdchV1OBh78tTqp29VTwaxpTRT3UL7jGoNuJdv4yvpqfs3 -H94bDYCpYN4duVkf59wOf0YcV9LUXM2WwgHH3iQBjno771zrFTBzWHy+HfyRLHS04IPUHBBaodrj -BPxUP8m3BTkCYB5fzpDSsyr8+ULErhXYUTXLN3XBsA2zMHOfZvJUufKhh4LR+KoqjaXU3XwZCXBv -X1AN5iHEeBCRJ0Nf1ULw7ENu1ItYTRjyLKrKlLwL5oGn0hZunJFZAehsN19z4kUrlLsvAFr7M6lG -rJ5pS0LqSQNcDira6/u4mFRg9VydxUJX+JaCEeXINYbifoO81Ox4ouHsv5pD17SR4d5Dm58lOy6l -lGLsrTAJlc1rZLnETheDo+5zWraUdQZhzd0y7NF0Fn8tpCuT+ymhC0SvRsvfQwybHIZ0BvlOj8RN -lEFG/jAmsqqrwM/u7LMwI8B3fqgKBmmeX/cSULUPJmVw6jYqjqwLfELlWwEaCvLoB/ZnjFATvQR8 -axX64kKHzyveQ1IJrfeFhqZyAFt7eF5zWbM3CqDs4aLRFlHRjRBbvbHBjGp2lUbMDlbcOSWVl4OW -MD5lLq9TLvqSLPM8fuV45h0Vj+3C/Ml+RhhVDNo+t3qMgX55Vrgof1RZROqwJhC3bEi9gbosYA+W -sO2frW4lB1WxQP0iYmRZwwBbVyGZRRlY4m+NGGKAexo37VtFIeB3uc16gLJp2t9AUrvnwFQXBSBg -E3fVwxDGayUaJ1GQnpOOQyK5cv3lAzJUlRHVwj495L5H6l3r6WGsFZhdQvojL07BjAVD8mXHY4Nk -8ivBhg+A6q6OjV6y69a0IFbsBbd1RQg9U01tpxynHamHeAe6Sx8wvtah+XLmg9EdVsa0vs1T6+zV -FQYb88gryiHfbsaRR5/im472DJxTcAQkHcOKVKFhFNDx8ip5MJ2fvlfHC/uDGGuLltqkVRHQneDP -jrlvQtolKQHKkfHN/lB4Yp+MsWHqBWpApgxINeU4eLGZwGuSexfcNkWutsVk//vt/ua9HC7LSJJ8 -+bPgSPMVeCQ4TfMe8z1d6BSJPv3Vib9gXdHzaSX6uLr3PfGF0jJTIssguV3iJkPklyfPmLm862tn -ooDc3obsHbJ2exivxjTCIg+cWwAYyc89cGjuSWCjKpapIaHw1Rxjpx4PTxD2U4TLVDiQWkJKD9pv -4mIAK9OeIjcVPrDaIiK7pBoa/1JBMN0d9eLvMzVbzCtICCByDlzLH2b/+fG1rnPN/tPDAS8p6G3d -sw9v36zita8MdWvcQ2/CxdQbg4JdqdYyzQsat/3V7tAIyl41msU1hYUsTjkSX/yM2mG0BiE+O69q -m5cvQa5886nvNgXYcySe2Kj6CIYmgpUdDT8ltU/hNCday3FvADzlIHTx6PkNR/Bf8cpMvcMzgsJC -YLkxB8ycfGb3b3wxLHoALsAZ5ALZMMkQGxXG2alOtdeQZCv9wuzHrp6yrrpYMSTCyvckDHDpGeoQ -zdzySZ9mKobvDyhZRKd3RHCeYlUjqhvYBUkf8CRciiOL4T8SC4MKRTRjAXUzrqb9UKdAo+RtuPKM -0aiPw1raW+eujYCl2FkcWAFFsMrqrnrcRlihWTnmDw+o2bsJncj7dJSu49RvBSokpZw4EihIldLu -sZeLcvFwWpsyvvdmqar3iltXAjOMIxWZ/zmBBRzMRG62zmend2RF7jNKXDeSN8MeFS6m8+IyD83G -63vBy5ZjiG/TecSLtsMAGBoIZ1bMnv5y3xch+i3g4ggwECQm+0X8ZeqtCjcTXmEnyDs8JNNUnK/k -C7mhAK0+rrE6GQQf4UMl4xxUcBvmbzMvffEbBeRDlF5WtTxHkfqKqunEk0RVnREFs4eBNK8JtFCn -lwjLpmJP8U+rgAgIxl7jrK+3yywsSoa6kGZpECjsCzig6QjYG2+linDMEaj8GES36eHTToowDacG -f/XGGnF2Xxl0x4EA+71Q3h1SKMdHxM0bEr1vautqMBWjNFDKmIRKuM27kPh0KEdmeWjRaDNKlsNk -9HjozUx4lD515MVfK93MJjJSdmRqosJ09MX7zpgYeqo4g9XPiSW0FOwR9HJhw7+j7bmxHxkEQEkd -nBYZpgOhv1xXWkH604Ohcq3pEG5YH6drZaxJljdPuRxTrJDNYKwvZkQs1uO/NEBsBcus1cMMnfS1 -MmaUYDj39p4NioWAGZljo5f5/m3h1gp9DdtqvNB+ACs8QWjSQC2lgIVwagzzZPjd4elwDedwI7iU -4cSNQy4meCn8Iu8rSMwWcCosrcNJX6m2Ulzh8P35Abn4nRdhgYm2eQFGWDbK4AiKOtqCKR5Plpzl -dUZX/IzNENJSFkvngzaBgqc77lGAzTetwxQS1qcu5MqL3bTR8Gbbaeq8Co231blV/V5pWqqFtp+D -UKpdISUCqe32TiAjSc5RqsO7ctWsrkgM9OVcwHR4UPge1PTzNzzHTWTIJTYo8IJw0e4aGC6+RP6f -fXO4SArwOm47bgBiYULoy1ZofJZSKrXoC3PQdjYHuC8zTxTzlV4Vdm4LmMs2iM7LFzn0ji+2mGui -GJRts6FJVfHvjU+9mJSqM1+Gu/gfk++NP0IhLLetXHOWPTxeIKP9ZhESckAhYOsIf/CoUy40ejIK -IMZVAsGOervgj3A5816iHEXM/jIjFIs5aIL3/sp90eeHL2Fp5HY+Zj2Agv37QpulYCTm0IxBoKPz -hEvo1RHAvBz9muoPEScuEkM/KdiM/HbA2MVxDgZlN1Ay0ivf7nxiJlf3/l00y4B+iT9aDiadmTeH -4D+ca5OnlER/fU4ITLX4MX+RVGThlJ9jvY+bSsAfbK2pzKH0vQ29x36e8XGU27HnuNsnIulddnfM -ktB1Edcn6ML5u5Izh934SeoDcNPCUg1nNeHyxYnHRMHs0sF6ES2ycZ8vRIITzHbsMqoZrHpN+kqO -jb5QZBZ3HX6ft3aY/aJ+SZq78rmbHdoOHpI0Bzmr/NqKgPO/cgpqCq+CaxswJbkJIu7sylcDr24f -I2NdLVOuz8yDJ1YmQB2SbwGQ3T1VR0oTwEjR/PbXzE01+KKkJ2KsfeVcUGC4QQLxbBkXsb8Eo1PA -GfFzHYc/Gs2stWPhSWO8IjEX1k5K94YLMoCMfyygAuyHMhRtLMDO867PvmcYifZugXmYK+EHdPeG -7iuqjSur0a3tICsIR3owimyATkvsD953zWQ+O2iOzdeSEj8ow12VwY8MZerLcVOsfsF0awGOqY5L -1ohDLOKRzvw6C2lCg1sV89tTVpv00XLl+luZIvaw82iALUYUIfVMWYokRAo/lQSL16wKc8hABcuG -gy3a5DsjHhBf2QRK/hsrMx1bIS9CYbP8Ma7x+pC6lWrL3XMfk7XEFrk9nD1tYrLjcayRILCXlhwy -v5aS++dcdnK8Yxyt0q0IWAo9rMQvvSmu1KzeJIA2XP+IRew7uZ1FBg5oUY5niqpimj8287OmVLSi -dHTkC27MjRME7wvu+FHG926xQZdSQ45sxExfUZmAUpqxaKttSHnoNDen7HYGxpa/YMNdPotQJPGb -SstbNCMajZswido2o/EOuzq0zV4JLdkjqN4ANc5QT+glx2PxOmSMeMRaDSCQoValCTiMutgjh+BC -6n7g5uFn2ZkNLRPSaHqgr11SVOrbeE3SLsZU1orN8hd5eKBdaamTpqf3xI89tqhPZOr8AiotxkeB -JxMgTfEXr5kKbiDcGrp3u8lewCvHdkciqh+QVxpBmbRhNF/PI9OOEAYzO6/TOJyGp0e+jPLhsSN1 -XCG5dPePGOi50pFUP7A7UnxL2WE4qcauHJ9GWvJsr+4pJUEYQGKWRGYiQcV1+3UnpvTHlRKGPbYE -0vYhw14Z5GbHlt2hj+YJBm24VHStx5L6xxN7XLP0DEIo7pL9lidCnPTX6CQVCTMJte6YU3XnyWcH -mFRaWfgIm4xqJxEdb+VTjIKcZGR/Pkh+pT1TcAA2/BLGgK2KR5qiPMMBOOImak4yPdIR90a+KS0M -iY8qEOsojnp1o8dunIRNMRtvJrEj/e4yBIutjmXyBpmG817Lsqjix5TBUVPVb7DCRrcobpGIEKx7 -GpOPuEqzhFI87AyxwTPKq4pbN6oTOYq1bWXuP24IFHhWi9qAkGO+xxax7NQA7yLHQdAQC8hdq80t -1dm1Vl+cgfaJQd3EZ0+dpSvIlzyZtx2clM03SyI5ck7upP86GROssSD73IxV4UK8mvOvul9ANMOp -xFIPyUv9OqZsRE98qzIlkvOJIr7PNH36I4/ADB7rNEo6/kMoP1gZE/y/kaYh4SJk5TqiiXS4TRAa -+eubkiKlZcMTDc4QgW/9bFGUl7xLMICwjBYWjnF1CUNEvkUfY011mA0e0ofMv5NC0uWV+LAa091g -XI7AoafqveBF1YyNcm3cwoxlTSL/sJZX4szA4SAFE/6njH+tkAh/ZLi75NtyXVIPvvaObO8Gb6p0 -P60u5h2F4flzMamv6Kfr+RyBCFD7B4vpz9Sz0vHRdozqiACx+S7Ic10h/s1A4aRZStRAT9R3W2H/ -h9m5k02SzXdc3xX5jgq0SO3ysgDtxoryKrmA6F+Ha1J0qNgEmUEHg0fmOeGkqRRHqxZUCByQFj8n -zJ6E5wqG5XBd1us/TEoFoNKjNzurPUkqpGpYUSDp9zhlW/mZumf5a3GdnTzPs1e96fLxO7nqALOT -BF1PAf54CLNNHSu8Ba39FBCkN9ZmOtxkQUKaGQcVtibIVmXNfDOM9gyEYc1a5u5e6yOoljxQ/DCH -iJIOe3D4GSb1yOCRymKu9j6IIA1bl3vEpiUWNl7V5g0ktyeddNHqNjaIwdlBMl8CP1NJKUAnt+yl -c0dUfsvOVm6y3SnBaP5f+HAdQCuWCLIyJ5bXrRaskeUSr+KlzbL4QCe90GrJcEAU7xavaLkNi7nR -jMlB2+WxNxnTg9pxJ+LT4SDaSA+dTutDEOjFrmrFVm3VMqhvatKjSrvOKdHdkzSEK8nsecf6JCsp -bWA8miuk40zQurY2RNwuycG0mY1+223XtCIBQJS9VMJfy+Xt4uMEUwNWkDzqAFuP6tkSAafc6KGa -2FEfmylTXh4q6aev31AJCty6xaX14Sc4Bo/7786x5Ge11k30cq3i0vh82vldvjQ6rTMjsJOvVhDq -wSwnkX/a40AmXmTdbLRkx63kMCMMe12pkoldGE0dCdVja1KQw9178ZJyAr4/ue2cb0kLMFfZYpuP -TY8Qrpz+AeJ3VDn3bjMf4vSjcu/HLCaMhz8Am9j6sXwL5Gkni2Ho9r4H/1N2zc+X0Tk+vaXBzfa3 -SoPrWH7Lqv8N6YpQ69HQpvaz/DyA2ASLT8PytqZYMOuuZEtihkhAlDbIIoR56x2kNmewtjj39pzn -c2qt5v6YA88rcsyq1yWCiQ5wiDEIEVU6eK0iiI0U/WB6M3KFlioWiHkpSIlqJc2hRUyJ8GNP50p3 -CXx3tN9D10xZzr2QdmrOeOp3tQinZTdDax69Z2OV2uep7qZcXxrvmJysIo9JTMtbw48NyC+lv79C -3j9Uu5oX2iJ9QUJoFx96DSGPalHBdiFjmuye7epfZ03FN5BidE91S2RFnXcF0PqrPowgeF26+OCi -DxzSbLz2peqLZDScBEU4mAPKiSR2jiU9uB8D+AhBH/Gh5N/yKkEUE0ZE7SXvjgiwyfT7JC30F748 -9RzfS6W2hhW0tX0sb4FJmABhrRRMKGilqJdWOFnRtCBxuGQHEba0D4MNsESwoqfMYhXTHw3SVhPy -QPXtMcicrcEX8XQSJLTAWcqy/A7sa+18AYC9bQNDLbMeeRCNhyJU3GzqgFRixqhxt7xxuaymYcLB -SLkzF1aHJ8dknyjRPsZDEi9GwLbFHUaXQ+70MOJaJtISd3tX7zulnPt9+8g3Q05XwZRJuH0FBvv/ -qi4b+kDpe2in53iPM24hR4MW61HD2jdlKIQJDxsSC/r/zP3Ju3S7fz6eTkMUyR/cw187UK+GAwcM -/uisSRGjcXTop4yJFBT+rD3K1zalgy0mJb2BT1S7M932JVSvkKXB4nZOW0chqWkZNtS3S0MQRXY5 -ePi2Zu2CDZdcRYnx3ftS1BClYcQZIFaWcTWuwTL8H5GoCuWgsgrSyTELS+rFTi2Xl1HeDp5U3UPX -Pc2c2vbcZ8hD7UsvKtK2R2JHQt80iIxuINDC7KhwfvqF36zGw03DXZchLaUllExSYVsYHZnhyram -/uJ01ghIqIW9+l6pRio6QV7ZQK7HLsF75wcjjDRgAMzGGjf4tev5T2z5tN4yjb0qV8FdHfHcvUVR -3idA3LBXCTMs9DizCoUfl/0LP4ibXT1FDzTcfeEf73+1oHYbwd9sA+hNG9PcBWmBu2v5m+oELVL2 -MpfZrdyIrNYCJkwlyOjS05+bbqJ/ZscKkQ7FOUxqliHUNoKrRC6aSkIKIM7EURn2sLhXLNfcq6YO -bF4yhUYtKZ+vwrisoRBEblRI7SIyy9O+wXOOKJBvS8G9jfXOz0QZIINnI8Gdp9NBJbkC3gmb/FWv -DV0q8NY8w+2+42Y2VownYD114aDwlzZ+HmI+CfcFyxN3X5PZ2O+uNA1c+VaL3gPDDfKqo3oAvG44 -5VREyjmD0tB+LxLj1VRhu5XpbqAFEHsLFEO4QHha3WKV/BV4DKJP3ccdKury9DtsvDe4MaVBFCmU -6FlUZDZytqzvH5IaJqz/8HZ3pCqcr7fWp+Jd118WT9gpPbUIhPHJVbc8rHinFmcnhlMUfhhUTuMh -30xEhNqXQNZpsGROAZ/O0MQ5QJGUo/JxPrqFVdaqvjndQGZsFSGxAMG+bu4geXgcz4HBLBtoT47E -0NeRMUeXrEv5K5N6UcOTymYT4AkDpBupWecK2dQF0EL1M29+PMuqDK7HSK36T4TfCBOI5/F5WV4x -eKWjgWzCbCqLvRstCNFx3n2FWNlLbP6EOzRF2HY6gltFWSfuFs/ZF2tGLBL3yFVTYFVE+4VT7+5f -YMhA0ZQzR7Ks56tOOriS3fDKIBweph/b3fospgq81opvP+qeFoUL+AIBHUMn+Z5IDljPDwBBUx09 -HeM6eWwKJ7ImjZ6KVWqmv0D08EWurKStLGnPDrpnfPRpqSqLABCB/1m/HEdniW4IZqPVDZR42weU -NAa3jGtgRIg4fKGrs4CIoWV5RiMcLecYPYquEZvs7tAqwLyaFhbdoV71FPsQKVpXAl7kDmRURm3H -tSPoqvhzqIjcPp+FnrQv7d0ohvICuteCnL9HFPDP4izkfd++yYEgcQTwgf5cnLg2kUaLkC5jzZfo -oJPkfbsVx5CNJawGW0iSq0W94VucnIx3lst49N9GhLozloJ9uxZDqAep4smObYPRMFxJI4c+9wTV -pXwXM6tdqqq9tVGdTJd9bN9IQX2G6Szr0wnLLOzgrJKyAXA3ojaOnFC8u3f3QhifaC6vtSQCAiNk -bbvo16ga5hF9QzMwetP/OJujvmybJkCvPXgqWi27oNd3k82R2GgLTzPeVYW/tf8P1gsGLrI79sc1 -Va+Dg5GGeVGQW6ujQIlttR2umot8le3PVoio2Bu5YIKEPGwwCPDCwYBi44tD3DqDeQjWoanxglyN -5ByXq9f+bnBbBe/dt6xuxX8f/PrPMq3x4b6o/r/Ij5i8TmJh0YZdgELwFF1kSVq7UVA5kmAukyZd -SLK7FIL56bAplGJzGzVNG0MPxPe56QmNUARfAgTaYQlGOKQtQwU+NN1kD6ylRqWUAzB02w4HgbtK -KBxLXk5fqkPdf1D57z2R6eqb4b0D5+GSvPajLFWeeH2fJ2I5JG2X9aLO/auzD3+kdS49rkcDS0O5 -5MfkXTFZ8LL8QuYUac8FHuPPAe9vShL2iDz85if05BPgMzp1fGkah+TOFK90RYvGXi/ieyRblfZi -l4XIpRZQyASF5OYCWs9b4FNT/ld6oW5nY04aI3ISuPJ0Z1YBs0Xch42cmtRzYn5bQkx8lXPHsCJn -xvs++wK+GdIhaux8ANWgZBTVsyfi/Vl6QVYBEe5p8aql01BfL4R50YxC59UVebTTFBN/Rs3z2J4G -qaPlSRuHwj7pGMejY0cIW3utyrf8/su1/WLNuJX4xr14bFlQjDgNPBFpKNryAYrMMHiu2V8V16RB -hHkndkk/tF16xvJPOy6x/1OftCrfTGcZ4fFjKJcl5cZ8c37i0UEejgIhIHnkcktJOPBDpMA3H1TS -7EOuuec8+5tAWQZ2SoDWFgtZq39NwadvZrYvPOUVr94EGxu5gEFB3GjyeIyrLgj4BEuJEM4t7yg9 -NmTSvQxy2+6e+CN1UpPo/L15fCW76735UfsHcR+vwsp5s5WdjyAwJumKLghS+EOY93UcXJKily9C -VKlkT/Iy1KmkPPoLmMZH4QNiAMq6o6UuJCkScoL/rPuOzqWp0fuB24AT8UDSVmNrF5ubvM7aoHll -hlFznO33fG4xERnIBfArkYmIU1MGRY1qZG4dQePDlSS2psy3rriKkZuoGvWnKdLRc3XpRZ781UDR -BbZU7mCM3NBv3ZTozVxuJtIpbqjejz5HmIX+liMr2/ZyntObf/rn8tmjtoCUKDkjat5U2ppc5+TQ -ir/7+LGcsHknv0/rdx+qv0aBs4BXkYf3/pe/fquS0btUVe4vpK6LAjfeegZFclpfDvgLXarNVAGY -yiciRNtLu/o3ItLmZJqk8/EA/PPTnRJoXicxRDlGf1Dn2cfTsBRXJe4mD0iW5CtIkmsIKzVqiY0D -KcibqJxs7bimeH7d/5nWwXIWmIho3vwMXPv7TnpB5oM6Fe5hU796vsaP+il99kdTqxxT/pCkumYJ -RLfi7pkzhsbIOMG5KQITzPVGoFiz0zLmWnFnbwDYwc1tPMfdeXv07tK+yjN02+ZzrtdRoOFuazqa -5lnxZTo9xifJasua2hPFaTs3H1GqZ/bUGD5IO2YP2fy2C0oF8oDVgiGuweSUG8R4dDa3N2FHpZk9 -Uy44JIRgMNmVTD1JTvRZ3KHqxt3aa2h+zunH9OuehzFfbM5Y8bPbp5Cms0EV2Giv8P9DF/PYIJbM -XyyYGmKRldyuCWn4hjpPw9wy9R3lzVc/OR9ERX7lmOFKpcbAuF1KZRZZrw3ZwN6rAziZuhNhLoD+ -YnMbXf6OKQg0MzDHVUL+jHQebgHBwRC+rOWMKjw9A0mLeZnatXvRdm4STei0imgs9N3wfN9FAZia -XKMqQhTpRApPc1/byAP42VvQxR6rrx0dPs8d/lvXCmAGkbeBhhw2khhKTcCOeFeGhidUU3czyEwl -naLPIJgNLZmnxR/qa17BHIRqu7aAYfWoZHcbQqyA1dzgxWFJL7lAKm2W1JjA7ESzI31d1kiL/kJ9 -Qccjq8be6zrEdIh1Pi5kmLdl8bBrkLg68IBkk/KlrkKjG0DbLtwlARwKNMNXKx2+0IKjQC72TFWL -ogbXQRNMfltrnPa5uwcFH3FRuMI0qsJ/a5wj+UZxFMJSZABXPnvPYD1ODHYIkVYW5dzReSIrkcdg -j0ScuGR9Q4mPCywykfAwIsvxWmI7HgWubq4IHqftU25dDmeEilQonFtWhJqYghflYRhKqvt+2vw6 -JXZA+FzPf4qrVGqnSbjwb0yZBRhvvtMlo6I6QnvxnHCBUyRyDmUM6NOSfIZIb8i9TpbIYYwpQLDU -tK8cTkg4/AY/AdL061joQm/tuHWTGWMRi0Q0FVLzqEsBdTnlBBGDJFwN2704xcuP5lhEw9U7Ngul -wzZV0SZ3vgSepTgU5u/RieFhhNKWX4R1cSqlhICF4rCN9mHU7noBdiXD6gIygQCe6oY0ReiRr9Jv -mMx73Xog1l+BF1VaG+hd03VZ2aUASV2ZgYj69U+GiYJZayBNMLys9bzfJGsmd5ounONO6DTDh768 -7HsZeUrHoADsSa6UgbJkbMUUPWy924TU2P/E0CH6isW8ra8DgcThGAIcyMQCEt7CsSe0n2qF14zS -lKIeevYtH4QQSl4j1RiQwfWneHFupomXE7QRRpE4LzCG/o+3O6Tkve/QouIx4JqW2BoDEr7A1ZxV -0slOi5C39bXlaYleSgVqmKTHkmG5yEB3y49URT5OmEI54pZ3NQShbp8zTXkF2fJ/7+pxJJ/lJeRD -BS+qp7SEN5xTaS7glZPq8TJpMMci1kp7imeCq71EE8+uGjW4HoKXk7wOvX2EW5XR243ItuxU/sbN -UJ7s7aRrmsTGwsgCEtfHqwPDquevNTJcTwjjtcnCLuKag8yvbhg2SifwnQ1U5A8qClX6pW/kL8+q -d8XeFMvTibUCTZWyBRDnEpH1rDjvu1pQ5HqKBHLQoy8giM624VIKu3mBsG46doohPQyeO+ec4B6P -8l9qfgxsSG3jjwkPsu/GD99D4IfTF8IRhM9mq9SAc1rIf96RKJhv/IgUXnARRzK41Tny8rbiOFiM -X79z0WqCdgoYN7fPFedk+vm3KaX4ITrYTbKUPS5AU7QctVQj6V0AIPIFcfgK/M/FQp+T/IY3gwIl -lNT7HOts7Hp1Y3CTOf2M4MT+p+Lcw7CzzE9+iXppx2dYLtl5GruT0xua6OoG1CqYMWFJtbE6i4te -Nh7drhCT1gscbBUVVddx5UUcRMQZAIhZx+3XFOp2NAxFlywvFkYt34uLxwQZ6USfPV0MIkilBV/7 -NIIk0rxUkaGcZHIKufn11dGV5FCULjE65ULRIAw9W6nhBlauBX2CvoTaLM9yTWD1qWiS6XjDScTk -OKKs72/J2y2zhxuXcG8T1mr6DapopTxw589FSjzS1KnC87cON4iq8Fy32B7LPmwbxD+Ea8hQkzFJ -ikg3KS2pUxhblLVbRtt1bi67xa36nz2APgS8uort1fjZT72MjBE9bSaavolpUj7s8LC5pY+25dxh -YboEgeVBiszQgBRZ4tnpUHBK/pi1QrFycOiSEOT5C/C8kwT77dSeZ38Y3FL9wsSgIBccVxqS3KPa -pwSd6KzzY6YOGJaqgD8WsMUvFfr/ARsyqB1RUlbPZhJpZXPuwDN+GyHlGjaRqroyqLgslqtHzTxs -ygIuDSYzY9XKMsmi5xEiryf+VTKhG0SZC401q+w5JagK9lipZMcsxqPJDRTSqQpWv1J5Ya472b7l -r/F0RwuBcFuzFl8Cs69O/HcHCBLsCPuAfE4tXzw5OQNDK6phnXmKEoAknZz0QefYJO4WngkcwmRW -lsxqCg0+IxhhKZ5P4oq5HuWSnSkW0jPRmefwiSxUhew17S6msPYTxl7otUuGrXOVcHmCDZLsLiSz -HrX9ZbW/tDSSYZ9e8ZTOGqUF36UkHVQ1WwsMvEe4uwtSQ4jv+CLO+c4Gc5i4wwJ26ncTLfgRP2Bd -6Wl3W92450j5niGpWZh7Ouy4SoXrJqWUFWN5TQ7cs/eFcjCxc7OZhETR0TCMjeQXgdn8cHZIKawl -qR44J1mE8RASq5vJA5LXQSnYQkCbQSJVJd8UXcjRasYlaj5k3UjPUY+Aq+VCzRjVgtqj6qGTJ99Y -/2zZ1UvWPpEeHqqbITU6fn344RCGqs0g6SoQWgpOWbzPxbnPxliWO2ToMpflbGysIPU/Y4w5Y+YD -t/RAZKr14TxyyBGeaJ8YRVsr3XYYgE5YjjeEmkJ1FebcNMR6PBOEvfIibq7ni1USgA6Y4XoLlavT -GbOZuTDc/mkF6h6rsXq2nedLGlxxNqEsPE1RTS0nZr0LDLTEfl3WlHWksHyKVBF2R+FTStomvxdC -C7qqgB9vg8u+mrvAQnZhM0FsfMiwrVUZD5K4jblcI5vD9/MRi6wtxAEp//G6L7DK4wYEos55VbG8 -6W6xJwrP6ENZdD+d8jcYL6VSj9YfVLYLYPBAF+ocZm2kbL8ZzyRcjr4llAh7nh6oM+6xinKBz/Ns -EANVT648ZF7a3mNCMTxcezmp/1BBGhXnW/UXzuIH+LyEwpo4ri7dIzBUMf1IqdF0ctP3R8KJmcfN -HAtryVe7UpzwKF8IpXpUnnqoWt0s1/cw+Dk9TqEQVsvNAGcka5cVq7+YKu4AclEfIsTVpwNVlb99 -xiH3COb88g8hJYxl+yViH4AdGOE/aTaVue7SnRX9ZykY4RHmiQYVi09cedoHF/p9dalxzziHfYsm -r5pQr8GfZzw7KEl2sbjHRpkgU216yYujWCcctV6O7IFi80YYbHK9FD4LA+E3H5BZk43JyL29Ieo+ -H6rv8ehhpabgPT47TpsMBKmxHXDNhQ6FguR2pyRNZUQ438uqQBln3j6hNHGLVLiH99lY8nDU3Pa7 -oXbSn/x728O4RE0QR3mPAJxNX/XpeMV8nHIIVFSbrwKtNjCYyXhd1BrMq5WepHlsmunMiJXJVMci -cx+hISnZEb6BMJUSfdsJXsNMsT1k2aTQAUFwDzxOqWCgwUUef1QIvONBDwz/5RJTcjRqACFKNMxJ -49PpqTf7l8dqKlMYUTMftnBWynEmcjO/siWCvr6uae8845loIoB3MirQPozLdvku800QyB2jkRTI -SJ8hbYu5OeNjY9kU8d1UEeE3Ry1uz5+WJKtysRwyPR87RYeIaJSvydk0WQM4297Q4sm7VzKVMWZl -vsFbAcQx9RPaKA1Qlc8qBWqEEJXkyrhEnHHBqJXErBfysndOm04GbLdqdrjrY6zqyftW7VeMNYAw -KJMLbsniNo2cXsgm8wlrr8XSaeciGZohYwqQBfIboOe2pqkpr+EWv6v685aETBkniIhdpW0BCRaf -TgwnsQznsYXLP4NQU7HsSrTmPeZoHMgYmTanOLCGok9QRFjd4dwQXEcjqeDGb2RSJAZ0Ahrsj+iM -jKsiAN3VFFmKlOdcCqiUrhBbQkCvSFnvjQKdUYhsAUWUf8FZrxSI7cv0gjRhsgFiJJe2nZTDhv6C -Kw/wEGOacajBwF1jcIu365aZOvOehNnKVKE1UBZgSpMAK2nLVShVxJo6wasMn0CeMuM9Hsa38ESF -MjDtIycon9xdrVj9FSy5PlAspr+moqApev1Nz26URHIXkWSW2nkVQ0vaoh18wK2GsfilMVD0tFYQ -sNVASmSA0EU30Zd8A9beLBqBHZxmd7mE0FUPU9TR9/Y+8/g6l8uNXWEiE0tz4CShBB/Mgy9DAk4d -PXiZyHfaIy82tAurC8WF6Sye7eTtu4DZ1uFvK/jFPO2ltDd6Nq9Ju3Vf72Y2sj1XRsQIGYOVYl0X -ZczpkGldlqdyRkGca/W87hymdEdfwgEM0MngOcCT7EHgGOU/qURHjDkAz5KOukdpX2tgIacGjVYH -YRJ47btPQMUoe8ODmuBj71v1GuLO3DpzkVJkedaVThiu+NvCOB99jWs/1dTBddqUdZmAaRdjHblP -o/rqGtD/E/cgAxG+JLDYBtAGsTLoqNEqM+enwjSGQ0/Lqz6ALbhJATqBTkChNN6D1arDccuhR845 -zY6t8Q5fOMtJEPshpQRCQ5A7T89BLhX4zPKqeXRruCwzzKRCbGco/4iASwbmgBhdtra5Sxbk6hBv -TG2fUlSWJcCUXwhrL6fr9p3Du+UCF3hPUBCQSgmTsne092HUaNm8F2Txb31J1ZrYUBuJYjVnwVK5 -DcovfemK9PvTl+hBEEAfOUyJqyWpYpJVG0I24qCmqy5UTs3fSDIFpEeUu9ybZTI4f/AK4E2wIexI -kbG18uqhm1WEDSICZXp/OgZpeXVZKG2JigjPTYMCKZfTKHZRppsCYd/BaxT9n4qp3edvbMcUv733 -bA49yoCpiqD2O9grVysm/dYdZ03TXhghxVcI/11hjBIdjvf9oH5fQJu6dBPQtp59jtL1pX260ZF5 -pPCQQ/gILIgqVaFlxn8p+PSq3xp863KRuCL7zy2YyGqdGQKAPN0tJJ0ueH00pjkKJhgCzg07OLpw -Qob8sS/LacdYHEOnM9f4p6LQDd5CN9vp4Pj580S/Pr7buSGuDmr3N0PbpJ6kWlabSiIQzenBQrBr -IK33a8cEwkYEiLUiIh8kWQ8ArPvG8Qi22hQUcRd9JZ2IvkLdRT9tPTEiSIdTcQlMkbEZuWdd9Czl -LZe/E/FdRiYZoLn1MLF9rP9VgiZR7uGLbyppduQLzT0x+YbvWEpXZ3d72gexz6RmucivWL0l1Uoz -x2s/aa30ZhMrrhmC4hT+F4jZht4PCSG+YTHvmF2hM2K22IOvtCM1nlIV0bd7Sxlccm8FMR/7MeBD -RWG01ge8M6PUld0f54mJH9OZ9ziNEWKDO2M4CiiGbrn1cQz+5U3DCfRRN9zu+1bVYe8JqXyVJ2vC -CmFQR7YBPM1tL327puWkFkNDpGC1vZLWyA8m/O03Kjr9rj4MfNOwvdtY1Oe2XoyDrwDi2l4Nqa+b -29NVNXWKrdOZzOOnpOdOpr8iIxNR0fpoIY4Amg+r8XC5dOLz2qDvn4qoknaoXHflZK2b/5oxDRp5 -qN6ZB5UdFI0Ndl9EpMx7ao0A2RawoiOljLd9uNSfCOQ7Xhn6ZcMInF61HQjS270MHPdKIluTn+mx -O4UVmJE1AdNlvgHTJcTE9Igoii6L4BGwhnvPRrsuyRuzcd0TEJh22wahji8VQiEM90uOSm3Mk00E -4RbEL4jVbC1DdfT2R/A7MuQhKH1l1eGwfpYchAJpC27mbTFEm2m7nlm/somJxe1MmAnhUWHvAFch -30GCvtw7N9twvLM47ITdcvZtnvsryfMKFOb/F3h5ivbtGOHw1onpnXGHXMar5T/jh3icF9p5HuXH -1Bj2EOq5opEJ07vphK5sr5kAB22/MNRbFywnQft4nEbvMVFnby0AvLHMPo95UjuqAZREGTQOKTy0 -tH01xtIPb37DsPMYY9FtzTfluNBUFaS1m8CASN+BroATkLoUBF8N7Vva3l+T9DWbA+eChWpjKy0l -l877lsBzzpruXGHsN+zQlOpIn2kov/5zSe4aQJW9lUFiNGiXlQFncnNiIENAoTB9Cx7gF4oYyqGV -bcMOa8TYWkpCVkYglsv6u4DA0vd3H4eAVXM/YpIfueuKSbd3h9cXOzw2j8yzKS6hOIeAJlrr75wE -Rkt+gBBYZn/B2+8Y/1cg98StMtETEaBwDSxQMftvtlSlbo6xSG+9Sh3oQQqwdrRMxC7Y8zYY+sA7 -jCn3yvXX9+Sqq2xfRyS3wxBHEgJqK9478u6R8BCc0Vk8WSyOWGZomJQ5yx3rexnfoGvrf4osHKUK -u+D+dagB005p7RQp3kq8yWnF1mIUyp1+dcoZfs7FPwEko0LzNs0Fw+lbmp9mLwgDicwXRFA8/N/j -MZOajiqfH7TIaFFPaltokYBOh37gS8GipqnZzdCO3XuqtMoxsBbf4GykaM8CogKyEp+IyAjMZX8J -uTK0H2+8nUMdCf6b9Cmpnt9FWWyCjUaeh7s+I4d/Wo3h9qae7N9FJwHwmJwKVl0slf4gZ24OLJqE -3Gm090hW/sHxWTmjrZU5h8w265WAa38vQ3oTn7LEbhPTYFGQdP1GGjOZdQmFOhYE192FUXXKqJ4v -6e5B/i11QlcdYAkwsntduXbpdj44/qDFqlGMYRwmQLJRmX2A7SVpw0PMEqWwDK3qNvx8rbPRvAZ2 -mCUxdm3AC9VUIFcYvp0aTZr2c6tvv++0y8PktIzVmTqPQCnUOXRlPO3rXs0gxYldYnRqii0Wpq1C -U2zPGol0XumHNxRMHTnPVPafi12MQj6d0CvGCT/8Tw8x9VmIoBqApOpGF6tZjZXaOnmHMZxZJ+8b -Hc7v+ldDfVf8Lhf5jDF3w3oZ+lIdoyq68FSdg8Z/aoyD3aNyb1PxCv5nxRDdJXUC3fips2+vI3Pd -O+JdLGGTCrlA6iOdo29xax0n3Ksn8OB+RclmEpCKD0qLpjyvqwgBJF8b7WTFxGKz/YU2t3g1wW+V -+qTbemQTcX5yndPa6pCqio3PlCJqTHJMOV7XyjOY7TqlzRXRAiXOjk2M2cTbeaIBqUD88TJv43zx -m3dmFTa7TlvrDmXIxnYqOntx8l6/n8RX7/cOGEyagVmd9wVPMcJ9b1Gg3k0g8Eh0xVKwdLqwyv3U -JYqzmHZkZj9UQAa52qZUsVDQlEy3ET9jxxiA57Ic+WNi/+qJf/Od9IXXov3mZ68eGseuQywG+nJ0 -5OPqVHDGA2af5JKCMbvLJUriYoeLEOp4mbk2zBiluUTeyykC4fIxj9E/XpBHn2Sm4m44RhipdoEr -gPPAR+X8sozeR9wmFDiJQmrM6OFdZ2sROoeu5QvpsOrEaoGw3gA2WocB028OhlgBxl7y3kRpbYyY -Q79M5AsZ4yGteN+SXQzus2bQXMMEGDnwmHQcvSgGKBQaFOyrW3TElyGYICOCaF8QD0DamfBFYeG7 -3WL8q+6JQx2ZtOG8zT6J+xfZE8t8RjwhF6yE49etNEDGbEe1k0CO8KIx8GXdN0WlrMD7wtzjvSYY -LUn8lJ6Cpzb71mTLo6BXw6UdtnkHQBXHV5r+5ylPILz5Lk+OiOShDZn5DCzCIt9ju0yuN+ybnDrQ -XQ2xaMYuk+7G9uD/lFNC3OhmWO/5XQgD5dwkCdgMxvQXg8LylFtmvLWZkYC3WsThMizG+JzSL/cs -O6ZNDUNEYQWZ0Z68VMloWpsfoRey7XH0ybb4lZIx9R7knsXQfSjqsryaWYPGU2wBn+sgoE+2Q53Z -zfkpgNZeyMfREZH/VdIur+lp93eF28Sf6qEODSjKFVN+LTwCKZQoey6J0BFOE+qAuh8pGNGpzgxA -RqIFZj2rHugtIMtsDX9imp7rofO8Fc3EvI/bo48bwjFMDE9SR/fAlSORDX5GGqzCRNOawU2i9P+S -oIg+ZdYeHf6oLsiIiCqm6aUKdecplTMk4gyUXSRNBo0fn98NgZvY9qkPDPaeG98VdHZZHQbu1fLd -/w1SW4vP/0cwmb82YtJ/yuNmjUUTT4QbDGiz/rPTjZgFhSBP8JkQw4d+Xh3UVxWNKtJhvnE3rpRT -BVu3In4cFIteVX0gPdH2HKTONfrO6ochupLq9v5jtpirAxE8IGvqKTmvhCi+WcB/8+HxmTmcOGNE -QJaJ/YqlzZXU7W3Nviz/zhaDFhcbw5edLgXp4zaUHt45rbIpgnoO8l2TYcfIC/rK/GO7u5OlT+uy -quwYAGRGPuFlcD3MIDEYwebgNi2ZAg65BouavBcFzZCSQVvhOiV4jPERh3379pt7VlYkHj6yV0Gy -STyY2YvsaXKNXmXsXQW3UMu2p93PyNjezPJYp3Pp/nd9KyFXtsc37doPnXvFkfcS62BBadaFmUKU -TMxgLHP5pLqAwbcll2mNwtwznc9NjRdVdgD0PIaaTq/X8S4GjlxPhajMPOnldUgv8KuZFnhdFIw0 -NLIZZ6go93r9DIgMqHh8NfYCEe/yzihNRLlPK0N4BauhPnurE3bzVM6yq3O+RRDfqp51pPIwYZLb -pD+XRPZ0jXUXLooeCkZNkDwV6xgXgrckyReEFHJgRgmtuYlH1gOpD5Xq3W+COtkFw46rmrT3RnL/ -JXck/svZnnyixGqhuPDFlh5/1umYtrYY/V7oUAZZV3pwwshmQdBOEs53iaVkQJKo0RYm+1OKvcKm -HJKJnLvo8R5JmYRFfhuQt6SOFIqWXjctqpv2sC5NPGv2CSXTmQ7kFgXL4NBS/rn/B3iOAfMHuIIW -Zogcc93P5sA64qrH6km1r8Fdn6Te123c1Z9z20/gwmUPxyS1tJZcGID3hqr6mwqAfAL0xIDrWWt0 -QF6tPigr+0Cnd62etNeb0Xq/yZMtYQ8hiqFrKGZI6kqIil0Kg8pndWgDVHenHBHtLk5KWMGQzbW/ -ZSx1+xkTnUklrKgRdfwgB7mx15AjVopTg4ZCAYMgsj+LvKckXGJ22we2FjOVMKgA9TsxN7gnREtD -gvKhvut2S7/FYSEKz688ctHY7DZ4WWSW2tM5QlxvSeH8D3pFq56xONxLPKxz4O6S/+A4lx5kTxtn -8hbPEmr1hdYCMatzr5kVwHKDAZNpvBil8mMq2E25j3YlrVkp3yPXr7TiTzT5xwdOJR/Zb8awgCXb -XU2MG4k9Pr1gu70RQxTaqKMZ3DOeqrDyBYBqoQEe7lvv3Ix6axuldTCpAm4K205eTisx6NtI0Zk2 -PfK7zFDO3XARVOnTw05nkwVM48IFMlk/unM8yo9Krlj4mWcuwCkPgXpw4hLHJUe+o4uXkxh2/kEu -DbCH1HjAtzszayJk8cZ6hB6xATKhiKzxCnLeMqzECTJmo09eytJpYTnou54E4Z3OHY0rwD2wn4ef -syot8ZEgbgFAXGbF9jJY+wd64tRcBWP7nOaD3N2jkXJGcHuiEuTQYN5kUPseCyUSU/ReHkCLINS3 -nnycI4dKu9bnYhdTfJgp0WsF1Db3ZckejdohNVpJYlA6hqBc6YC26aMA/svvmcZG6jO7RhU7kI+m -2+Efougr8Jwvohx91tizIAv0/GlPiyMS6xQIDe9ZgwVV3cjBUER37A0M+btu+PoWvnZ9+I0Lp6hS -W6DcXABIzh8+uokeFCJR9yAyAupvMQ8S3Ow38WzF1em23WEuQp9O7Bv34uK5R/b3VCzCS9GcWMsU -vJajc+X/a8ubwSfPYCVJIN47qvVfTtlH2tQgV0VRzkdFuL86oAYAze1AfNXRpO53mUIKgo9FMIBl -L4Ki9A2lGU4gTshBPNAT+K/aiwOKHp72R0r/H11qAgpCFSuFss/CmSOaXqN0UcpsZGrhlu/E8gVd -OANI3AyLicLn1Wuk8yJ21PAR1USlhU8SYyyMNTNGkQ4W19T5Kshp6vB24JNF0N1XcjnUc1oj0sCC -HK0jssjT3zxye1sAQmtsiiHlDJ9EjfUdxBBmFm6+yBDv5Vy/lcehtCgRZ8g0qF3udQTv06S14l+8 -WJqkesXDrZEkO9iGdM7NloV6s3vAQlKzcXC87BCkXqT2Heaxt3NNzpJSAa6kez5IVmbQCJFNCFph -w9embb8Rjm8lDa9ONUexaKeDyEHzV11yDLStGYOdHHfMZ0XraUS2I1j4frY0zvJwdmlWk6Jfe45b -4dEU611QTY4hxxBhUU9zpplbYWNOXWXBCzB1TRLyYNvew65LKpEUTa0B02jBtc7s0MqLZ5dN3PnG -JxWUeIphJFGBgxRg4e7I+hIoEBEiscTtnxhEl8uzeSIhCc7Z9cLnhubRwnO8aiHH5mKJ6SYMg+k4 -rtYA68PsVqG2ZBYoIwH6EwM9MSEm5s/VUaE+DTQYkmYdjCeMZPOTo6/hpu5KSRdmSPZdqbY9FH2M -9N9cGz5Gwdfl4kPu1tWoQGE5fM9h5FuLWt8ALYG2aECoJjs7/Ze/qjz80gqO53qwvnO6w36QQ27U -5elJwLoVDc0aK5pzwKWpWXju1pv6XlL7737RXHfOFMBwjj3EVTh2gkM4d+xYJKvZ9+bmsx1/4wJ7 -mrF4tXGO1ccel8WM06U0Oti/Gqnf2GWsEFLX34aMsfxn4QQlOy47Ixr2g53XzSgp20x1m/SJ9A15 -yNVFKyAWJvpNTq6/BkepfO7DdlfIkhF5g0ctuH3R+/5xy+x9c6gQf18mCz0iYw6pS4Tt5V2iXO8G -k7E+6A+qz9WYWejVbhEqI7oV60s8h4fdPAn3XJvX35Qkqu5SKhpn6IVu+wSVhpy5/XSYbF0zigPP -fnO3RjDwrb70HD6ipRD0DBhmBi2BsPZgq88M4wH7phuSMBK+jxtPJM87PBsM7a4f0qO/UKAy+o8z -LxwzN03b7m+mTw4t1MvsWPyarrdpZgZ6M1V3sBF/ggItB0jp+L33uBcYrPtmLYMtsw5u++iDW+vR -OYJC6uOTJcC1kXRTPysAKtpYX7VxBCexyRvxjfKQZqiou+biMznN/J7J9CWTDVV7yXpVXu3sr5xm -y9lKPrVSo6PKhPvvUbQVCXt45wjMYMdGnx1HHwkP+zaR2d/PErFSnp+/xvTTW8U90VVpzhCpl0hy -mi7G3t+BIBAilpP82JzbQwBp/dIW7icR709FcQGGFH0VNLxUiNcojkcOZHYSidvhupNjrZPhWlzF -uQ5Sr+0LRDQz4Yg8OSuD36htR8WmiwA6Df00udNLukhO4fhXFSKeuDFp2SHPNxfnckjfYzKMxtsa -K6osYqf2HahMHMxC7ENg1rIPVRXV2guLvdmBD7yQuNPLOq6gkWn9ragxeSPTkdLw0RJMEpPICcoM -pIftGDN7FWowe/TJ1Agsj6HynS5KxFb/NrOtAve5cWYILhRmGLjCnr5O7D0noU+iC6ssnMElN0eU -odf/1bIPPm8OUfFi+yx9Q1Rw497B8O7JhPNvVQsKea3yizkYmv15v4/8M76VbV6s0BOZvuWhdPNA -X/u3RP3ltdgJ8Ay/JlkiabytjlU65hznfTpyazOy93YGjoVK4EKhHkO2JjwYiru9v7nL9wgaijsO -Ri4jmj/r58o60IgUU01jJhfTjJyVvSs5aCPdSkD1nJqeMbbfubkH1jLRy4K4YsO0FmwKuDKts/n6 -LbbXPyHPCMqazxx/X6cfFXCSA33MXvdlmwhXpSllviAOzz85Ufn0fnmBYdBJcauEDviPKLQXje1u -v5n3cixTjA6xF7JNLFWtjgQeqcjAnR2fI/Ep2M8kcf25Vf/CfSLQ2o9gp1xA+XssJPnfo0DuENlz -K8BWn9VRXvhngWpBSD3Cp2bHmSfJHt2YfNYOZ6d6U7DcgU4Vk6DIj7bLzN04jveO6nOIdc/AMEUY -t5/ZdESJzkUt529ZAtr93G1s0lE5Zdi8iBuB0uioP7NwPTLu5jFbu+xK7lJXGuvoUUqhCfs7tncd -68vSyHSIxWQVz6VMnczAOgr4me/gzuu6L/dUpBusJMcIKVWwbKCxYSCNm01R7s1wJzVQWqXXkBLK -In15Hw6Cb3sIUWOUDxB844DxAqSk56t1MiKRceZABkaCKhFjnJUTuv9fmUjn0ID/zl+RhLLQfThn -A2CnN0/qfasPPHjsKq5s73lUdBrrvgLcO2eiHFan7U1O1x7PseV37AqQ/hKEriHgcKyZv94whQUP -XWX3q1/ouCgoBBzbXFiwPvIC/cnK6auTtE1D/RFnEVjH/BMA3/Aw3kUBC/uiuucttgK74qJpLB+b -bo2MrIFq9G6So0xGihOOLU+rTysrks1qXBzirgoZoCIJmjIUsf7vqhVgWIT1RmWiN5m6LSXDZPjH -wApjoZG7psqY5sJcgOxJiXc8QsXq+Qq5JwGSEd+xgYs4exWJzwhjvnP7PGZZavszW/R0p6kpPkMa -xSPP8A+EliCQmXZPRXPhc6Fvvw9mUxpxmm7szyLJw+GDwKlk5EUs31uxhew5hKXzpCi0ZaL2PDnD -c2IMusYmP1C5E0OWXws5Yi5BzcxlP7mGVLr1D3Lbu/3FzR+NY9xxGWYnDRs2IWR8o3+QgQoEt2Vo -5kjR0BjdWKvfk42cvhDzQ0dHVPD94cBtbD1k3cEXbCYQx0/96wrLWZkbmq4YKcGOVAtQpWar2R0S -ez0K3fhuKIk+gmCfSYv+mq3/bMnosY1OlNAu9thW8WcOVZzXOin9RTsspsnoLyxKYveZpB8eFZUF -2UZsXV3x3ExyIjqrbuKDqUuvc0kr+4cB8/lkRzDiMFXQ3hp6lZ3HX8QWeWRQSRHf7l5U0DuKwxjG -TlrUif+fo3H2J01HlCxZ17ta1KppeEN9UVBwoYy7MFEfWKH2mZMfjNiZlEovDBbbgOkRvO88YcIt -L2ZpuMGw/SDL1OFcTOLARPv0LyZeEIYwGyBVAPfhag3yinWnxb74SBrnVw6Am8he5Q/aeQIZ/yxd -b7YR6O0OtY2DBBvN1x0dDxT4C0b5+V4jjXqmEqSXb1/NJZzkEUFZ0zXacWkm7PXqcsQwSWvEvsFc -7GC+aMUkPXbwgBlT8MguO5Iy8vWBWyWvTATZbgQrMh+v8C+z9muJrdDjUBagxwC4tGlM03rHqhZ5 -6oSuUuZ1GIWCgu3VqXLahhAiXb8XKF3KSLNTc1hD7+tAEYt3y0AlLkttaDywJ6J4sqjTDZMxRMpn -HgC+nPIqUxcPQz4Y0L6pqf10zsytoY5Ofz4lP0n51/VcdoQQb2pgalyeYR9sitOBsDNORd2J8aGR -CNErdERv/pb9mta9CtOJZfDXBULj9ms9uARqPFBxc7wg/ibvCzM/WtmTXhfmFoHmi89G5kUiyrAJ -0c99U+s6oSdS7mHGzPAjqvX/G33u0Q4Yt++ZTAmD+glN1l0RGCaoa5eA0pLaLcxHXjP8E0U4giCQ -5H19BgPu51lqQt6jkGHnk/u/WafasHKESzq5aa+J4m4dwC6uj3eUeuEXfkWF8+YgZRAQIVjem2pu -kQJgUCIvtPU9Z+AwVW/nNp8x39Jx5oEbBXoT97+/wZ7DmfLKApRybo3L+D/0p+P9Y420d0v8NHn2 -xPuEKfZCUXrmX66CkMXfYLJgjceao4MJiOd3Syw5oZI+V0dvXluF/qW9RVB6soJRAPPyKyeAcgd0 -8EIYIgxDxc+ua2u5AUcpVNJVMa1WJXG4QnMu96Y9YW6ZLSPxQQRFhYiVsPVUOu/SWMSTceMbELQV -6EYrEepDdU7F07uelkD3JEEiWEiezXcXa6Lt1o6iPJW4YDhYQ5hNsfaUhXkPf/XswN0RY3zyAhSA -rHF+MWRSmkgLwNiQqFesgNOY3x0VbnD5hmBxrutb2cGEkn6sEUnqSz8Yp3DVceNu7kKsyTAeCkU3 -tvqyxtzG3TQgoQ4pZXqJOu6Yj4e3xo849QVJPlNGgnODEnFNPxvB5ZgaRzdCIXdY5AMTC8yOyGH6 -ClyRoVIWpgUUoo/QGOVPaPQhpP2LJjYb6+OQaUxCYCuqSKsN6eFZuCVB0bn+N8jMxH+9R+HKBXYJ -FV7ErMlmwRVA8AnqHwXdPRJQNHXYIWPwKbOW0iRu80Hn8fPYUslCwJeOa8x/OD/LVqa/YdzR29gV -gYzUsJXdUC3v1SHcdfCpDsy9aMtJk/7RdIZu4NKhd4vvLXvj9yUlz3n9sbACYSqTt4Tu0pNbZ/qK -iOrUznprn809nuFwg87fcU2vbkFTLqOtkDR7nVWVIfwD+rkImrDfQIQjALG65cRfXP8JQqF4ZA0N -04kBAfI9wtejFV5TdVZBjfrffjmiP8GzWQD1cJPOa0uzYp1AnOCf4bbg33p9hTuhlss4uQP8kJn6 -S9VbTkufhbUnr28IZQr/7y0wqJSm//IqK20D3TO6GoGabCSDzM2OTvsGJnhFCa5ZEJzMSHLD46Yb -9tYpNPwXDO1La5hgfSGJq8222CT2iZJeK0vrn8AwYRRhDtnHU5CkjX993XMcm33Y+qYF8mN79XWn -aw0IfR5GkjN+Wf0OOszFyewUH143NjgbN7EEmSXGxG8mUw9b6yRgo62ZnULE/kO6hK4AF+N7vn4j -3KCZWDCr9nz0dKJTlQw/Ir9pJrzfc01LsZ0KmMg+Q+eT/NIF58w3krkHU2JKkZ9JEDtWn9muGnHB -t0eKdMDppVuRwlcs8g3IaQuurTd7k/QNf0R5tmRTHXxUlY9KfsLcr8uHDXaVF1u99RCi9GDfxOXc -tXgpoh8kj6gW9B5NU4sm/AtkbFnn8RRp6FUM36g9RcW6uQvyDby6C5jC1RPAnnXfbUVA5HwRwnoW -gPzqEZugk7gLA7UteG0/z/4kfZoHM/ddrHePcnuxH+Vt3bW7JUhKUcAVjoQkPywsFGihItbXdcHV -RrXCB9D8jnPZ0g6DL1F0YON7YjlVuYvRJH3IuAFyHVKP3JcVcZ/zlyX1fl0bwHNmsaCBMaqqpGYM -QsieC+AGtYxpWBQ7ts7zHgsJLyV2j/vNr0xqIdZmLNs41JLGDOE2y8Ulp2BN6HVEmf0Cn33OO+VD -Zw+nonuuxQBtgpgOA2k9As9t2xBZn33vRjoWJRG2jjvdP541x8KYduA0KB4VOpLT/+yJFdSpcO6S -4Jl8EZyfkyf++P1gCSFMSV5iBJAjIVp7ZE2zBeQZuUOyaAQYWb48NASlzTrCq69j9+1wSOlGPbEO -J8ey0iYyWzgwVTMH6/v5cAkHlcP5mBZ8sOdU1TTluVhBmv0paxgZwDE9FxLJypr54/nGwaRPwPn9 -XFgIRdKXGSAmzo6/Ml//YqhHvRf50EWzDlEusIfka8pCiXCCi1pVi5X+xaY+Rgj4gCyS0HfKsd77 -Sxm2XkWsFxYRXKQ0M8uuarFNHkOUsrwGCnbwy3Vo37ArUIHTdUfXu52ppWXZsRdJqjnTgAGjN5ZV -gj9dcc3d0UAqQ3znxqy+o+eaGHCv0qzL6Hld3OY0kOb4Jx+MutLfKaLYOmmN1bFdG0FBrt82Uf4t -NfR44bk4vBlo2Xf+kIXrK2W5Sa+8P7cULhxIG6ZC5GAEieYDV51Q5iAoOHf3+O4fvclMZyiOnREe -h7OmH193jTfxdkDdDjPRVwHmzv5+7gYrvfiGWwBBVK6aYBbKJTJNLpv1YOcBBF7S5+7NZDLMa+Zj -F+siLhsMX5P7qYf+mEl/SPp+hA35attRwLpjKumabyU3Sey4qGFPvVSNlVB9SqfslrAPOBeS/R6d -pisI0ejU69MJCw1ucy4hrclKXIF9MPmMVykJrXGvcqhPUOtWFSC7bZ9YfGsiGxzxKQpd/+auoYoj -WqUd4lFCz1Pniqi6Rpzzfgywy+qzeH7ventx0JGHk29QK0iY77v68Umw6HifM5plKZvmJz4LslA3 -IBqbw+9X2WP3/rwcMLLFBGfIAfX9o8+jYfdvVQP5fKPRYpNFB87kLb0hsHAiU5Y4gJ5BoyVvMLzo -r0/HkZDeoStQk+gw/bntbUR1j/vqsOjVy3n99AH/dmWAwA1flOSE/DdtRxzjcYU3JMndFFuqn1Lc -wiBtYWDoch3XGKisbFtspdjeT7KTdSRwx72TlYQW0CXNuCV59up4JvPNgM9GdjOZURKh0q9zE0pL -v9OBtoCvxHzAWyloyWYKwGzmc3QnTrvfl6Aok5egabzYYVVqPOabLQMsECNyPtPhQ5xZfyyjrb1h -sH31jNwA6aTIROBzoqdPaCxbN/DopPDQZxUJR9nZauwWguUtR2BlP0KPRDUzGH1jiPggyW52htdf -f+Sxr/zeujsZxg4biRCUUZBEvzhP81DDydLl42GN/XlQht9YlO2RIbYTneiJOuK3/XuM2UOA/8zI -7afXH6dnChPl6uiQeKehUJQMKaxUlgcScf+kZbDRly9B+n+07cEo/sMaUmZMkWHuMKzp+ADYUH17 -FmrZYdfnb8Q3YOvLkObPURRAP1+27ZmIsZ92yHcPcIKrLERR1NOE84cVY4piIV8DSFmHv2nbFQAL -2yN8d8evhbb/jF6XiryohuUERZjZD32VW23JVGg8lNrGfDPq/9Rj/rDxJ5VtxK9QZwD807s7efUd -W2QPid8Hdrv/2kdy3jyURZVODqfRKWaFNJvWA/VVQpL8+TKqcOIUd0JMqnoExyj1jnopSPMHOSJe -acu7EWjeGUpGZjn/5HXbWJyeinEc8uSSZIV7oJO6WtagP8zns5P9Wah5v9mcSYuMoDnp9FpjFWpO -j99A20AdLOE24sgADCWspySclcONAVCwIJpb/SncZgjY11e01CK11IO8nyR/lMjCQq1gbb/5l4Jx -pf6wWPiUidON16OeEbp9OxZNtL6biJ0BuTg8wievRwf2tdzlMoE7hi9pNLWQlE8fNVqiKIEwa9LR -wnJSCGwKe9VEld9jtR63OmfbINHcNImV31szcipu9EwBBlncs7KdC6uNewSQBgfB9q5OHtEYYSpP -7/SBE710cbyqSdLndmp0R/xkTPi9vpuwT+7nwxdm1T5iHzzSoCdvW7B+brXwU/fSRJ1sXRExwgpk -6gmtU1btoyx8UMC2xgjkL4ZTTeN7B0nFGIvRtf4ZQ9bKlvBRS4L3wJFAJYA1x89V9wksrIcYppUv -liSLsmEj9TvqjLr/fKSnHXt9LzsBhimtPGlRGy7U0SQ05P+R/YrBpmCUrmKMxyPqqJ6BcB/HJhEw -n90r6JnOJnFyRM79i0N+DQoU1vTeRxEFUgmf3eaRdo6GazsTnpaX4NhZptrDETtiAZ32mGHVvLvH -+AZf+n+H9Y278X3QuUE/GfD4o2kYTy1TXpKO/8uqhy6zWQ+/T+KWpvbetiXcWTEVHKZP+lByaKxi -mIm3sxBdikUhVQVS4tTWum0noGptjtv6P0vcTmKbA8xptXhUkzG3lNiqgMq6j/XrvAWHpFNmOlNc -4yFMCxbbdBTfBcO5eiNgEfvRf5p3Ym5+dwAnunY4w7nMv0HO6GPw0jD18bKXI0QMsYN9zXwvrS/l -Q3PYBgmEvMHi4HGMzMAqoafM1SpvDXj+NbAPW3o+h/bapWCM5t34euj1PUH2F9uuO4wuOJ4fIHzB -9MuTb7zLNoIM2Spc+IHAuHvY/A2sjZmyaeoxVfJ+fYTmJnjyBZ7fGMXOmDVMU+vydstkyEeUL9VX -zFl6b6VWuAE4sWRrfQ0Nloqg4EsmS41n7Snrbh+S7palNFl6gaSgrX7RGBvIwJjUNpbYd99O/ZUf -uu+H+WNrR6WQ6TLD1AwgOJwL3Jx9lpzYHvNrkhu3PaK9DZsHKa2VkP8EZ4YNDogvD4fi2pnWgrtD -YgPssdiS1t6kQiNjVTP6/QWd+H3DGspFd/YENOMeDau4/nOc8LKqYPQtS92PXI8wNCWSGKhUqEWJ -ewj7hJoeOa6UsleF2jnoh+9OdkYIkYA7oQm+FCkzr5YfeEEjH+XVcqoU3L/4H+lop1ZgG9cLmaUP -fpcY60/9q+Ees9bUyAqv3bnNTi2vqRcIfDiyuDUcaVuoaOOmDcpZYp127gwmvtqGhIddU1hmcsjT -MgGA5iVxHEBoVAWl7Ed7Jq0+nZ2UJsRuQGA3gmN0u6OcPxtdQSNwrgEtOozl6QlzBbXG/J3IWrVC -aOfFVogLO0Y64LuhhUnZUyAQmA6NOjuRIv3otvGICIJCqPyIm8Gnc5pt057feBgMdT/GLxBCosrU -SFlcIJ2DjRF9fF/JCGFqWze2WKxj8KXvcKxKUeV+iWz6ISsR2A6W1X5QldCCGxZTA/JeEw1YNOMO -MMBIbsqJm2Zx1e2fd1BxeZzYQI6SUoo8nQ7Z+ssSvd9nCYBWBJgy76zpZSYNVwPz+hmEBpWt5e+9 -Y4w30V5S0MBM8um9LA/yD73GmW4z54V2cR8t+VmjD001H7OYvb1IM3RKqo5V39KRse4wxxPP5tCZ -0mFmBjXsloXxukNmZlpDDtha3ETumtl0bC97zgauvQRN28B9b77wkkSlH4wzR3Jh5Sgu+zeyq2Wp -Wq1p0n0qp+TN7Cqg8CZxXlEIyU1t2i+dU/zxZJM2CXcUyCMbZxNkCDqpIqQuLHtjRWe8KjSeSycx -YOOTiYnJKXXe98QfU6Q+cGc5jfEgGZCNKQ8nPVWv5lvvHxVREPeyBniskc6rcXAmr4U/fg5ixsov -XbAEIxvf/MwEqbQaHJFkV+4I4Bw2/4DXEwM+c+E0ZkZ75f2hxfL2h5CEJ3lblc4WRjP0ulM7r0Cu -IPxrZREBu9ddgJXVUxPvICRl7quQ3BKw6Tr6/uzhCnxjKOAj6EjhCxq2YGflxw1Fv/NczmCkcnMl -PYDC7Bkhhut+hoLdFqFibUkUT25O5In/nPc0CFm/3Zpjz6E9W0emGuZRXC+PHxTlSuevo+hYmyP4 -ij2xDuymr4dukC4yDVJL6m/dhWNYRRSNghm2bXU+J/F8j5ltf8fUXSjSWIkEtMfsshrOqjm23hZQ -P0t4BTUb0b1yGb9uoooB+snT8d6cM0wFd83K9nQ9G9siw7zFG5jrrC0mAXYtxNLuFnA8lq7TU/Vw -HbVXUwhkQpmg9vYzJVLqjk+AQd73yM5OyfzmKWA1P8rCIufX80jWP0qnH7GWW7FHsgHX20jLHth9 -FjGiLkM6cDPElgjoNOYi5lwKlDzQv07sdN35WZnJjNWH7B97SWDBovOnjUYMoI2Kqb3vAzrHu4v2 -uJrhiXBz5RWFSGMyFiK8TtE5tSnyuBcqDARlb1hWzOOHGTDjHz3kj7Qsl0f2GFn9BXeTHKMTHuEx -olIZbMM1/7J1KBEJcL6ADz5AOeGjXVp8aumT6ZzAaePpNrcPcDgivpAId36tG3Hmzu8CGp39OB6M -0tlu6OtE3pu1YZtaMY9Ityik8bYEkL8puI0LQrgDPP+ehg64kDJVOm+fnAsWFOjykG0fFlgXB00B -UtGf7VCrunzlokvc55uvtAHoepRfr+URQ9wdad2M295BDWJX1IbV/061SfKjssOLeZX5anu0Nyym -EdHPO4BDG8EvQgtZpDK773UDMQB6KR06Uj+NdIYSrLNKWamvo/4jvMVUDmbzj+fU/RlkDfvVC7Vi -nmwRJM6KluTZH6S2K0uOF4Eh/JKmEgVbF4mtHxfgWJeqkuXeimX3OYZvSIDgu4fZVLfp7gZ/8H0d -7DYMAL+aLXkeuancyrRcMgzRdvBheKd37HIlF9bAbNIoXguj0R6OS8ejAUnoLD0RDYIJ9jbo0BOU -ANptuhWXm3aydhXyVdAFbSyJqORPexmg8u7e1FrMfp63xgmzRjbPsZRsGOxTSJEKIlyqPwPz2A/q -lUCr3VhpDjI4W28Mf2+GLKCdg279eqdBAB+DXIDonsQ6KWYMZqtRuDRJ0iF6qBHMkd8HRo7tAwf7 -M+WZCnxkzSjPCbYm+2ow8tBI2mKLm/PNHgN1oCpXrhgGpms6cSuPvJo3InveOaOL/bhHQz5x8214 -FwoqyaI6JrXYp0SXfGjXCFnbHtKGxIPUKtce2t1vAABsLkVCDhdM80/24iDb1z7sn9QblRYIc3wc -J7bCS0Ta/AaXk+Mhsma/VnggUjRSOaFbD//24k4k7romO/u5hE9iM+XsXtzcbxpwmBDcmKtvviOH -mTzF8cTNXeiNqpx9CfpzgaZy9n/Pe6K72iZrg+FzyGWKYMTmEodIGniaeAtaDuRaKhFF2MnMJgEU -dQ+IBmNB5htm68syS0M+Un0EJp2DNRkwnFPVtG72C2dF1rc95Q58Rvk77xhSEjbxvv8ZPRzToJ0r -6sibp8RGX5oeMn83EhYPmeLLb5IXdCwga9XEiYQFxD9H8jmoH5ZAI/G/Hg37n9HXVsZ0tscut9se -n3F5ulBGPcO5iPH++e570XB3/SNfzS2M/dGizze1cml5bnV0SrLuIDc1fitVRJ4c/DjXKum6t1da -+i6wHjgqbBTKCC0oaOPHj3fEEFzONI/aMSrHnC7xylgiAAyxYH4IJj1pv6sLFE8ztgq2egpBfJ5T -nyqbeW7q1tfdH9OKuGVWC26ESoM6WTWQz8V7E6PV/VwLwmU985YZgKxAQ2IYP3cgJUpjjXY8zXOA -CAElcNbN52o/bFFMPLguNFs+kF5ePvsyEeIMAQZc/lWDd16oIPtf59JPDSAJIGU9xDefkpHpl1lc -dcCP36KhO5Lgx5xRznntFRED5sN2RuIL2AmkhZO1mTU7W3CK4dKwG9rnlWpdxHGCjXsxU/1jO+jl -kOv/5bkwgdWm2TreFtQWcHPOXx2EWJCuZrRfqhk0oAC6+CZIhkzNGI3aPQUs6EiNj+xOmcQKtdh1 -fedTJLaiclV+JyDL1kHwM8HQ3Qt1e875El4P+d1Jx/wA69d2ZVBaBq/DXoo2v+wHK9OM9JfOEV+k -9bSsqiBKV7OMrk0Gi4yDpag7Zn/I6/IwDImCuakTtKmGHXVoSYo4Ewe8k6+JOYCCa9xMD8bZJSxy -OPrUU7VLO4UiU/sOcaFFCE3/7WX/3Mea1fkJp98M4DUUQgi7HM8mPyIfDVUhjWJbnnjPBQAN+N0q -/hWclPXnUAeLtw4iF/X8AAmRkR+wEAnZVIjG1FX3jR+CCi3WkNwgN39zsMgniHFYOPwOePv9OEHf -3JQ0cDSi5vHPYugzWl8T5hCgbk3YW714UqEyc05wPBAFXKyJumzAXRWmBeEITvIxcU8J9oxVkWug -h1gb/ZGqTsx1GrgGDzI/+xb5oP1r0fPO2HtKHeOp9cheoNnVByFOOHkjK8gzYFpyFRc0u2rQZbsn -+SDHZAqxlBUglsX7BZF2qHO5jG29+m+PsJ3BY+6olSOZXpazu6XzvCzUtVjqBNvmohZP5miy/sTd -gYdOnRlUCh5AexBpQFtRbctchXLs0Zq1Get7N3e17VVNZSmrpQPJHViNF9uchB7/ej8qMxtR+Ffd -iZ8HUpR6wSEPIAnary+n6CsM17oHkulDRMxFm4VHKWpC3l0+CZac5esYpJlzisemxUkbyE4fhFTt -jKodPf9+wJ9siMCHOsve313Ki/e5mmKZPJa88FjUn2YuTa26E+qbEY5a+1d8Yo4IURjgMOabvDNx -VpmvGcg3rpXJt8JWCb+66psx7izfj+g6qgiugK1Hax8iSCA5/fQL6jlVig8G/w8btBE7+LD0N2qQ -Nz0ZCjG0Slsih1eAxzM8v7qXLL1UntwwxV1ZoorUEvZzXjemLNhNchsvPc2y7vXzcBH7+rf6KUS8 -0y5RVFmTV38jDVzl83/VjPksL+mgqS6YEyJIVIqNIPiDQqySlx7jmIt6kMEaONw7AMRaqkBp6JK6 -1/xh2jvebW3jxn9W6T3EnY7cnn4m7ulcuFNwy9Cmjj1So3FSem7yuJGQb00H1AyJnC06ObVImF/M -H5om5tI9IXCVu2tiS28Poc87nJ6nv00ncYj1GCLtAqp25EjPDHqdjKMz2CMj8e3rljdHRj1Kxm0S -4fkwq/NEjjZWEZQm/9t5GuB6HpMWEQcdhGA47yM2JPnLYMIjd6vyQnM3UGi0nHDWyhcHIY9xvrD6 -T0w7I8TrraDHuSypVRS/bhAOBEKlJgp5KW/jJa520XOab/2P+B65zUmD+HQJwZqg61ktP2I1HIIC -qshDAdzPf4duitgGo6KuMmMAHMncTY6EK8gZAJ5vAClBlv0XNeb5RSiKOMXWIfew/qwSdt4j4wLJ -fJUK2quqTezsSNU1iOW5Xj9UWDIw1JrjPm95osVIFtA04iUnpkyiUYg+WtRQsJeGq+ZlyOfc+I+E -NCZWc0RyHbdNoOPmJeGT8M+MD7S93YLoewwcstbrBTi7i8NxPSabKxTI9JSmi+DW2jy4NIiqfGhC -HqeODDuy3nop9dNiLIC7sMpx4a2OlPMkYJMw0WCbYeLuR6WSjQSKk9xqnzEnKRrp4kDno/uBc8lC -rVJbLzA2RBl6mez/bsONZwjOV3fqmHHGIgWjz1ckoJR2LTzklL7E1s6v5Q7cTgCIPJh7dymEoAT7 -BPU0yexJ7AL07v9BDfILDIflhNOOjCFfaofiPZZ8HWljnMJoOPnQhbvLMyi4zKMB95T6AUJMKsXm -FPk9+3JkvvliPKs3n0bKKg7TY7zaqJN5jGRcvop3KXsvOGTQwEDOzPIz/utm9Qt2bfqEqGol84zT -2wtkFTQh7PJtZLyLBly2lFHGaFQfey8uLD43qZnq9rH5h5pEHM7iOK+9kCqD3r1Hks66m2vhBSdE -yBCATZVTDoJ7jVl9qV3ydDOHYpl5WzwDmDogo+fTgfRL93O2TQpiD6OFnBixO18pTd0pR3Za2DjR -CdJBeGscqki729qePJaVKFZeo9LefSjZ0vy9Ndzg8A5n7reVQNPc8TY9hCnSPH6axrMUmmUIXdMX -nTCnFvEmBqUx8hJGjdu3FW7/tBsCM2YB94MXdvhvatbuaD/C/DPNFARTamJzI/tmpLE3jGSvCtPV -93Qa+X1Yay/FQu8wja5+dKV/EG0GMSMZ/8FPYAGt5DohMkZwaKsYlff8ervLxp8HYMq+S8nnqSH8 -UR77eZykcgrPiUzH4/b2W1PJhx6HNn5dKTpJdTxTmxosX7qZup4SnyUy2IGAvGDGS9rnq5ga9qWt -L5g6EVLoPkTV8qN0UBlziaTREK6D8J7pqbREXYpfLxFrr03rTzKwrsDoXL4LZgO3MMMZwzbI44Sw -8qOlKKYY4VJomhYQzc/Z63vXZfxdWyQgNdIZfMIUpvPznBDQZmz4ySF9f6HztTVSN3+n26NLOyxt -lvNHEw7BWOIhAIvyJ8i5mkjFtu2JX1/CkT+mT5AWpFRFZ1EUUyeq1olhsKKd38v5avfTgoLx26BL -YFKhbjnaRwyjebGX5ARZTcvtRdD9AN682GDuh+bLhnvMlwW3XnhQINHDYIPQ0aICUWcbghtfkKUM -1vlFfvTwD+GgvZR4N9ss9OkKozkRS8HtpZZd3Wps7UZWNSO04M1WxSGPj9TiYiuImPxt18041+wd -Evr56NBhgLJRINBeg6G8D8i1uzo67mNhWkWQVWgWcTd+w9BGy8b7zX5Yc0ufLkeacY6IGQn5FuTm -Ggc+IqFhZfkferEZTWbUKqgOIYOl0fSrSTpN1Ou4BHda4IH3Vbox0M8K2uAKWbJ4qp0V2NxDc5R4 -+8udH8UvZwAGw7Ow2sGdTcVaqzfynQMkaXCGE++xPS0UTgZLTGBuszg2A7sBAIXPaTnI92imvclu -HAXq0B9bFWtuddo2UMifOUJy71LCxl7obPW+GehpyjTnH98zVbt8vRKnvL66ibUhHpaMWg09ZA6W -5xXg2HA+VvxlEDggx6/2x1B5Wez12RbpfzqFzo6wHyxIeISih+HSM4oucib6qRzy+x8/0nvc3m6U -dpVq1LqTendMSqqVnlDJNWoyPae1/zfQANsa1uWKDj1NrxIYmMyex73N3cOKBjVYeyNwpa/SIGlb -U/Oct+GeuN9PVh0zq1ef9SkzximoDYXlhhKjBZc0OzzxY9biKPGPzbQJxf7ncjGWcH76zQQsE/H2 -5Xqx2UlZsLV0orHdcMggtXPeL2Fc57bxoyml3eAyazDJF3gMh4rjACbx1iGZKtW2CoZxyhMrCROI -VSpbd78hoNkrMSzq9bCFMZF3HapShNV6KebF9Io9WzZ7yk9F8b/2kscgZP10RVw7SaN20GOm6qIc -O5InnXqC7PdtR9wqYf7nRXQuxbC812uwlhQCvCmqaxDC2oVA75j0EAULMROIAe5/rBbi4Y38CUNt -XN8jAcx/TNe3JR2YNbKm3rv3wqXY2NGlIosP15K3p4P1oXJNdZAJkIg4hZSXo76Yg8PY0uKLUTbS -gX7OzPh8Ya0fXG7qBmYXhr76WjYS/jEVBOcgxwxeHBDzoUNoCqcgLV7VYCKGGM+MZr9pFSnpJG6I -CDwk5//VkOJS1IEwEbY5ue6wE4kI3/PiUMn7ig0xloxCJaF1WeLelXRvWbxhiB1NYr9GLwQ7RMws -GF6k1FntdliGUWfcHLuuDw+1+HMoghSUWEi1/Y1HRYWvfIDn5BcCVjnftJx4zj7Arv9EBDJkQaAW -lV5GBYdG+jOZc6fQUIFdN1IXpRWvGjdBQr9UBFtm5dk95vxAUNl9B0M0w52kHPq59n0tAjQZxrz6 -HhW9+LNGxPuI2mRJOa+ZNh+xgEl932ifKxp4kha4RNmax9LdvBzjm+eeBC/XTN6lAAwJFW7JJiSJ -jTDxflQz+oG5sO8bBwhSxNXWhcfv7BcqQ8uDYqq5ARmQtDXrt87AEY/cAkRNbHUnRPiSREilVcQ/ -/kXbXV7VC4qWySO1GJIPR4OZVF6HgrTukvmaVmu/xo4QNvt1Xqf3mF6wZXKmyvefzHFyTSUGEUVU -luZ2ME0zgO7dPuTj0taHk2SgYZAM7nhQt4ayWg1C8xg/NI/WQB8XrIuAHxX2xf79oK2KRv2gre9o -uNHvLBgObAUFl0baryPyVCWbEB2puu2Lc9+GWtxJpUMCqXU0cFFXHY/nTgVt2bb0rBwZweP6EoLW -L8BGJrwPB7i7JWAVKYR/ZyfteBUZ9BGvvQlGAuLJKAHs7tkuZfhSktCz9efFVesrzMXRQDvd2g3v -rJ8uNTFE8trus/Eh1odW0a72Qf5bT43LahyCY4lELWXmhTbUW6h349OC5S63Nzhbsox4PZHNeddq -qYe9qhXx2AuYgxlNipRiL90Ps22GOUkGITBUYHpzaO2vw1Q5FhRMLjdJtQgBhEokMtBHu9PduG90 -SfXQvmn3yPbZ8IfIBT/3RhYA/jmMIjOtmDmvsLrJXDdH3sy/q73l+fnN7wxGl/VnoWERt2BwXocd -RV7i7Lnq9EBL1tfocuwv3xEUgUPgVBVj50K1ski/ExPUWi9Ls/R5tXB8RZRBzIg05Hu2up5WLOk1 -4fh+ImsWpsF3IgU/wrR3MTDCmTqDgxNZg6M1O3us9xXgIQZ1LgPb9vvGA0vBEyUt5gXetH2D2UBQ -yF8X16q3GJBcrEhBJL9uERC7jWez/gf2a5r+MC19LxIQbjrLdHZpks1TOuVXJgWSYZSYzBzaC1C5 -ENLAH8Yq2e7ejLElLOY3+fwdIoXPVz+UFewAaQ9ceONMgldloJVQUpmNKeGkCiopVJjNiig1XgA/ -LzgWPA4ItikyrOE1qncfO9N7dei/NVjZBD4GtNx6ZA7a12PKbz5E85jAHN92I3LTCKxn9rHhMmWi -QDrNQ/n5rUhb8c0cbWuwZJNias4ou/JP/CofnOMEpWQsSNGJJ9kT83K0o+yeTlyfb6xrfYA9fblD -dI+Y/MhGsifaCzC1ax8oCYJ7EJcSikZJoFGQAZuoxnEANHScPsm4X+ix/0MUwAYnafxmR1PCixbG -jihrfM58hbEjgNM094xtS4gJwLLCFmOjBvIaO9Mw4Vd2oFG4KIJMEQrawOhzu2KHuTfbnYSQbObp -ngKkEX7gpBI3gImW2bKJ02iKGqtsYSUwVlwhjnixgg3J7CwsmI1hOMBrFeFJEI4Oj3a4eLLuHdOQ -PEKQUxuNd0MqvOsOXqwGhE+bMdBmuQ2cdQZbeWxjve/zFDexSui/v0VCWOC8z78p52OGKB42wwO4 -8LEFzDoMbIymADaqsDsrpUNmsOUzLL54VRvWET+H11/pvtpfGrT5qQjj/dS7dxnDHkuGaPsUTPnJ -Hqi+Yn2p/64vmJQPlzW83OMS+mxs01N4O9qctG7b9QaBqjCc6LD14KMfeu6yxO9zmcbIAOdS1v0J -yFTziYu0hg13r6DJ/4MnjTmJFcb0+8mIlTqi6jcezrynFRHW4093j5n8mhcplpIMXK140fLwsh6I -urEyMKyKm7bFlhR8VMwCzgMWH5gspzWDZuTwB51f+0S6jl9r4aialKYV3B3mz8cOyExnrJ90Jxxg -bOkjtTSkP9VWaglcDAgAAdp6g7ID6jj/8xk2Hz0rTwG500LyAQiSXPUJQRPom+tlZMbtllZP+TN5 -HzzVzb4yGMgxAc0NIbnFCkdtrE+9b/V+CMR32yKG560ZbiwJ3FaHes5C9Cs3KJt4Ip/EQIMKUTZc -gg4pKauGoLoD6sGsbIW8YKNDjIh0RPZsBgaF4MslVrFhEoauOreQw0DFmt55TFdtYGz/4U1oc93H -kOVT6ZwEDz4/OUHZg3MCClTk8fDO7IjkJFP+Qnh/9vISxRg+udoLwwfr5ZLspLa+Y+TjYTfSM7mZ -5HYDStroMt+OL6KtUTPEZ4bA8+iJkVzp1sSn+aiYFKHgUHHdNVqMIdNbIFTTZo8FQEW/rN/x+x0y -Znc4TnN5QINb9nPczOj33fabqev48otAHkn0S8SSQKZEEq2ZVnx7gica44uWNTk5LAoOPWvisNkj -Hj85/CjFWg3tMh+0ICkLrG1H49jR0aIXxdgAgm/STm8/kWY68z7h2mnunMz5Ys0QxGcfNFYXcLWI -9LCdHrEmpVlbp4yV0+39eSYVx9zZaY3MM1otvgcVl2d1nocYfaIJi4ANbX1sxz3wXuCmHus75Uzj -qOqI5gycVDpmz9zNbyvQi798YKLkJIj4/KL0+Zu0vfVOjQsV9FEOkyJCh9Zks1yg1Dhq6P58CPjm -JdRteDza9eTri9OCBcpRTY6gTYSRrmmnstwV1Gr7AhSG+ifm4FlwdPfTFL8u/9GqtZcHzBn+9en6 -WkaogHn4xKIDgoSXJXMyL9hdQf4ogEq1rkXaidHDit7zDfEoGzT0IsRITOVZTKESmBISRZSb1rct -DIFGF6IjIvSL/pCpqmKPD8ScK6ACTqUQ4E5pMPktXYzPQYz/BVyjGDhN9Sife1fe913oPtdyiLm9 -B5kPUnFUGrGF9auAVT+UZJzyonUFxsl0tPlbir0did5G9OcenQeqOXaxiamz1BhaTtrRFieCeyrO -VcPw225/EvcxdVLMFgRg64I/K42kTXldN/NSYT01EotclWyGQDl0TsyxAIYy4uDQ6jWwiDHaL3E6 -faXF/2P+N0LMNLqwgRrjxthFglHlYyD7wWl/YdoYDlcXlhL+GJWf52tAITZqymYN8pBtOvHBZg+B -AwAA8Zz61tj5Xm3H6GcJxUdeSbjul9BSz3UftAfhM82bkcO2vu0hWPoXBqWZdOZyPcefyTbT9Qzn -Cy8w62moB17UnN0JxlgmJSmHls/I3CUHEoVrB+k4+96aT1E5jPavm/vHSolI3xNJ8PxJMKrB5sCR -sT4WUANJpBtq7JtqKGnvTCNpCrsHxeEqnDJFp5n7LVb+jrdDgzKlN1eMms+4tt4DIiu7yoIreWqj -JuhsbqURTIs0dELTEQizv/rq1EVBu0SlARPb9Sxhfv9MKkR1ZUj5LgRHh+FmNRTNtDatL+WLMjGW -B9yavkSbhCQSZ4F2KwPm4n3gg6+TkHp2OblvtmBzlV/cQGU/G7s5fAjPGxwt8mlsOaMZs0YthNvH -haS6+njfZtk3UjpXScMhFmYrexbzRa8yqPy9Ga5WTk6Jg9D7PNzbh2n9CZVo/B09O76xbPe3bLRk -ViA9fUYBsTW0Or6jLRnENrj56gQfVzngomi+zxWjaas2YTwa/10fPYlIlkjqDUppT2wMzVrKqzK4 -3vvG5dFetijfYsj1IXx3yIIgfnxfWadpsXZjE7TcQ+LEQngKGizPObytsLPgNmUdGGkbpDSqP3cw -7yIRVLwwoftdrSQHKSNpc/1cHuleinzc6pQZ29hpkq8GoJ5Wqj5Sul7FY8ZtJ9+sdVo+WNImxkdT -ZzrE8ufSpGP2czfqQwk20WZODDxmKBFytwITjrWgrU5uvqoCi+9Ldp+nL70223B/ndhg0t1zX5Wl -MlM1kXP1VmcQ1ydn5T+gPFU4vuDo0mdeIXCigAZ7W+0DHY7BdeyVNIRwnu0QdH8JqU/pTLdmmdf8 -EShiLZjY7IWXnS2uO11VCdsoOvT1RE3+besDKlnjL25OD9iwzyiqRVVHMLyNRD2Ci+fGnvp0kaLV -su2FUypXmupuk5lVXk+v+8WBwLVhjCkawHDmCWERrhAmrBmFKoz8n1Tmc3IwqNQwft42KMeg4IQK -zYwXRlfqveOw42G6j5kg0Fb1ujnXrGwK2orHq9Nkqg1TVSUx4LVvApV/TpghKkOFT8TmeGTIShEL -9CuEQyzFQMeJLoTeJyNeHhqLdW1q/4W6rqjw/33Zogx/+WjlLOLFp8grc4ZhWykrfvg4h0FVtO82 -v+whQWvMDXANZkYY/TEVbgTfBTMQ2BP3m2b9jylF/peH03XS7ud/i/d2Nziw0ioAE06temDm8J25 -i2pThfgOl0o/vHrggi3IiLqNqBEcWL6T4hhOFGvIM1smH9wHSc3nyPzl/psVsPJdPBDaOSPH/ECI -h8GjE+WDXVZN+0EOzsevb4mXJDq1zh/+26OzSQ6jc/4hrW47kxh1popSPJyG0MCbpS8T7K/KuDjg -xwbwUYS3KjYvumCQmPgBIukeU+fxPvDjRE54viG+ynsjKBpxb9IQGits8+6zm0APW8cGUTSE2vBX -511Srz+UZp1XO55mlkLs46hxG1WTvf6GHD5oX1udDDNo/vhiQP4kHmUKAn3/a95KS2SFO8T6kGhG -9MShXFcyO+I5j6hkjDoQCyQojB+ACLQ7XGu2XDJwlim1aOcfwB8fw793Jqezjtu8fPh1DbJfNnUf -FQ2vQBCtaCaf1tiqMo1SfxAF5O/RmXYLropnKo1t1or5Oc3GpTz0rs4MuAhNqhRE3LQe6+XaDa+I -+2jFU5dv8TJBMuFAa0Cs7l7qO+Nd9039vmxb325RVaMvTGJkqBDbiX/p/UV8wPhA6oryNiPTno/m -XYAPhHdBemei00MiNMp2FVL6DH5OS3lwcMmmUe5HUVdxgN7CEGexCbSEKyMW4gIRX0udOTxDt0c4 -1bX04XhKp0ZAJnSS5wBzFTSHy806xvz8dbjUwH50dn92QLwqAm1ehhR5uGJTqZPNffY6/8bgoYoc -h6mzmyErbIUZbKsU8bjHJI4LARv5T3jnq1fT3wdlOh3A2T505VKk8AJI0ZkzYzYqhOyaF9MvmrrX -UHYdr0FDyZQILkB6u0c3mOUg+TEhEvNpp8A0/ty82nbWcFsWRV9RFYwzvioVkkFOIFElD0k2ac8t -z6mdkGPl9D5lpb5ySqMtAB81gqcJ+5mrprsyVgwulGI/XeLwow8AMgJNVDRwXLsdPmioLL0CDekd -dgFDacWoMdjPVnQpe3VOuSBivnKJOGFwv3TvEEygZxHjM1RDO703PAwvIrgCDHnxmv3CpZTb8vlk -LltO8TG/Hqs2v42JNWP4oAlvHRV2afAjDu+yrABjeopwVlZlOPvX//7JtRsUWVHbSbnXg1jdgdqw -xYeN3Cy4V50wIJ3QBCTvfu+kl5Jzz6JFv7Ui7FSYIjqpx8EB0mRxhu+PZq7xF1XoB84r2AC6OFed -iwyB2lnLCTuennpCQaWaSZlpTqY9YbruDOivfyfV/Ry78FkvQYRPsf1CEQCmmn8BkYRKq2UstOTR -45m8VFY0ncLaN5heBgbUUna6QMA/DkGvqZox8/R0Uizj87+jeLAMhHRJr0GhcqYGo7pIUmxbELpM -+LTC6GSff6vwgF7lb8PDrYrmPy2EBkdgEoP+AXTARvvoMkcc15GaQou98kfZL2g/s8FVYc1XsTS1 -/qZjJozipmVGx51aY613rfAu8vMceCsi/v4VISpi6u5ysxcP8Ouy2mMh3teD8IVEv1YPfcPvciyV -OmS1ilFVZP3Y4jIOYToo3H0jyIS50j+5oSk8Zl751TAeHgnCNDgQAf4Dhe3Deg/zkqTGYORBZPnb -+JoVFlImHmGDwUlOui6qtyqsG9Hdy4bwbEkdZSDtQ3qO7qlEWN39OOYIYzdjVijT6NmcywVTLpOx -rktdo/LAiWFdJ8+8a4ZZWxdcjGIndkULZGduxLznZEUdPMzbaskb8re/+lKyLLkt6zOFinjP2e4j -i39lfSJucN9mOpriLhk2M3PW3n5BjW3WP/XhVt/2lxhm38kQUrzSZvV7SsWUwT30NITBuLrbTQi7 -47yg4Qdt+ZSb7srUgCa4i47zTANDzrB3cZDSjfXJEIJTPG3MUbs4ZQmrmsvLiPzlhmhYNdl4PLHZ -UhuXIYBXdRjkJlmWT1iChDEKvLJLjTQd6cCjwNLJTVH9/AXPvWY0ex65bVe1ACsc/Hjj50zKtMRh -FeJF9eQ9+TXn5Xi7DLgG4GHTVQDUjT7NdKwreaaRDp2SctB+p8lkiXH9uJ5y1f0DIx91BMo1DQKZ -UNdOc2wChRPtA9XcglTCEKoK0HvzmZj6QDjOdCGDBXpN9b1OpwVnkJhI3YqZXLwxO6Twc/IFe1Mn -zMRWmCNT25lP9sf/7BsPmWfAB2puEqptwdyNlB8w029lfv3qcEkqOs79RNdphecJ87sqtYO75JOY -7JoGSAeOKPV2JvD4roh9M2CZaNEqoFbnclAlt5DCgAI5QiAUb6LPeuoNeKMozhm8raMQ+l0Zkp9e -kq0ILjQ4QDXNd0XaR0GUtzgerD5gyFfvmBTfXMReftvxxSunfuoWZ4D+afLUc7JwycT3zKcJjhKW -o6Pjt6dkSvZxFe93QWrtocv9T7f97OVkfeLPSOO7vM7EWgNZT07/PIg0VSe7HDU/rBPqZ8dpuLPr -Dhw+No2yxCn/HWKJ7eCOsQ45FOVEi2SVHmrPUnyN8O6IJCOFS2hVe5js753DbqJWVI59f8al5Zuk -CvRw4oshCY2zjLMwK/xI+JOtu9elf7ndbVAjs530ylBWBQHaZKJtv/dGkQhocoMwsPKF+xdLcTzZ -uQIk2BWpyJwrrLk5qea26gF8ulkDsL3BEDZgz1C+Ya4w56//6I3z87Pb7IrVEYHqVx8xkWBfc4xG -Lgr44sOjJ7HZDL4IjL30o5tqm/ltPYxruVkdGj2krYIyQ2GrrlnihhZY/LLc+/6PrIg1n01wqgQ/ -DX29JQoywrLs+ccBGGWQhyZpx5vceTQqAEpZtr4Fd0CJ8Q7RvkyhiPgXoeCvFAE+t0KdjuO1zL5T -fugwrZnRoPHwviMv5nFfySeA3Ofirqx+ssJPevu6+NivNC/NHxR4YN4/55XfMIuM/++JBoepQwCF -6wnicsIHhEzWmwbaGLPORQXfKew5E4TQXVTjeseaN1qQK3flVDxXfDIF0adum7mxtB+X0JKFq2M7 -PydBnWRasbRn3A0DeUXZchSXDrxRdXnyqio48WGHXmwBvUc4RcwE1n5Pte3rn1r5UnZE27FkNLy4 -drGUYRAPr8f9s/efYknw1RRFPqa8CgrzeQ6j12fpEtkIrIH6PnI+XzWJoREe35ZpqY3GJMrfw5iW -Kx/hIwgd8n1r3A4kChzH8j8SkHTW57YuSGg/FMIFmwp/LJVWVxBiD1g8TcPGPgO1IewrHqsucLSi -THlDCF/0it43lTXfhRZ8QESc3JO7XzX/+n6lLQjH4LmI0H26vCnUaI+x45RzNFHyUSxCRxLYm0hx -UcxoBy8UFwiVSSyluZIjUNVHCPDFE5t7AGLaDmU0oBaKYYFHtqxOXNL0NifC4m1uzdbTqb8xfyci -6RAOklcQqud4+4zcR57+crb2EG+SegmG0rGd/Ursg6SMURvyPzBNlrksEO8YlFp1jGkGy9d+wUDe -0GYPqshbkSI1/jRMghkX72TsEyGKh+8Cj+EPo+jq/nggRfSNqQpQMLHxbggSu/wpog2iUB2NNXxL -WoYWZ8kM3U1VtnaubS3AY3V3avac4tmcSV8xcxcWXqvoMaxzlva01/1Sr8XIxDHPUN5CJ0owaVMN -PnDGjKdYrfcUhKucIVmIi6pSNx9bVi6rjFePT7b7IZyowvI5a71+OCOmzWxXkA/D3i0AI2hMKVvG -ZkB3F9eZz17QMdeR5+iyopQJEPWxEMP+RUblMTQcirRXZexP/F2A6v2S9cMJ1nFMzrmTLXE10bmH -4uBjACocs0yKqufeZ0xuD9pGtFZzOKODeack3o3nvVrjkJGlcSCZ2iM84oAqkaIvUXDltmqmzboD -r37AWHVfriH4FZ0x8XNE1il1HEidFC7xkQD6sKPCJhJdko/v3Pp70ud32blGdAYw2r5pFz9jti80 -omXAJyfAKiiCCT3EB6VUJhxzbkMescDbkE8g2w2m2R35t8/KvxOAJ7UJw98EyOiE3ToltqkgmIK8 -+ocZ/aCOMiCEEA1/9xzDYI6qa6QFrXFS/M9X9HMz1Dide9sya7FbkXpUiq8uPyClrP9D2P3oGQO1 -9zg/LkV0GQz9pd9NIBGqBB/2MdF+HZYOtGJb5+hUeE9aCCNvz8ag6jKKFWO27i1VvF9RQZN17frJ -iVxYNsdF+dHhyPrdNtg10Fal45i1EqTokXItg68qQhbvm7TA/81I3ptGEewCiv/T27CG35ayav05 -ZYBIBRi9zqUgqyQndI/nUkOndy/hZn4WHSHwM4okJDHIGEei3Q6oSPcTDjWk9cuOtd3h390fTKtu -SQeACUCwS0Mwpnoxv9FZ4QVI/tncz2Aae39pR/xJgYMRsfdglrOjxTx8sQwmycQFyvrEk65QZTJH -B2IfN73J3L23Z9uM/rEd8NX+LdLZBg5dJ+NX3vzduw/mxOASIKq9txoJ0reEfDl/Rc8wpme/1/sV -DYgolOZ9Bp1Xo+5GWyjkV2eSkgSBRvQ/zo1EMAED3iAT0ffMCzxQziwFGEm/8pcBCyB2TXMrxebS -Q9YDRIj+phGBFSG8OX1Zy7V5K0R2fZganqUn9DCYTvT7Y/WJIZ6iJhk6yvLFjYKuExM3KTkL0F5u -rZr1HTOBkiKR6I0Sbdoltw7u+jJFA+DCepraEXmXoS5ucmYR48IPaWIIGTzlpG7KDIzmV1qm6yhM -/GnjRPJtI9qb0UDGClfHheD5uPd0ZGX6iI6WzoJ7jSnAGSSXEkJA1QGXdTcr4PL4x4QUXLwt4nr1 -d3ymYZeoTxqe460NbNXIMkbWzCT4XFWNOgq7UwqVMfCwnOz5MzQXXwqcYAra0jJ6lPK/DrQY0adQ -apUuHnxc7luQkrSNrm6wtiSMv/XLjDMhpHznIPrziq7XJFoP1sYpAna2mmvIrdjHNh9+VD04vElh -acTZq/A7YuyQ+TZQOwK5kom/Rg7WcwUytOoL7y48JpN8NrirbijQ3CfrSNcDbI53YTwqeVUwW8R+ -fiYymm5YQPn0rmkWs7uLKKKDpLk3PjOhBGDnxn+l7QyWz0WD7G8YvN+x8MXSLML2CfUv9oMewFmI -nQoiTP4s107QEzDVBOwmSb3oPKbPSmMATpOC1By7u0IbYNHct8F+E3hv3AgjE7vfK8Allza26Z5u -o5vPoWi0QUA62f1UnGsevO+pd6bacWlWrI2FE/x2IvbP6Xi0lOusUG/wwW1kaCYN6EORYmij7wFH -YnJoAtdOXO6nVJdBk2fyNFjvNMFwa4MMmd6/n0qYeEZgxZHHngE2O1j1xpoQAJPVrlCKVea1f6JE -+XchVSTaW03j2NzW8Y4+4hh/PyGgJxSwZYtb5QbUY4agLYpBZ2h4BTwjL0Zz9QRKz7MR4ykPybEA -xpA97dnqN/Q0kVzt55su20a3UhECGcXfyqnN/u40YqeHOpDugSBgBhaos7X55T8cKMAarkK8v4NZ -cABXP/JogtsCpMJLcH8seZi2pkJsjul6KxZdrMFMSOqUNXjeu/S6xNQzqjyiJL+B2wmv46mMwUZW -OwqDKjNs73QY1A9Hp93YuLomZkOXNEHxODmEIFaFmVC0eZSY6tHusjS81cYETn1qVgXfwBlsM4pI -OkDEDBdXb4EwBjQSX0LaFLlPuLEFsXTOz9DVW3e8EwKWVUe5wEzFhyqCImYxi97xGa+K0KxKOZKY -wwiKWTsvQ4AikIPI6JR0Lh3eG9wKTWirseQ1EN9gzbdu9FWT9D5NQmm8/1edwCm1gzeJPo2Yntzx -RPQgLnGfUq7GvcS+9wpixvoN2M4eooYeZxa9hpf3rtlKtRGGEaVH6ogR0wcudZ1MKQb3+cZd66lX -CgCs32S/PSVDan+3Zi/LzvVjRTitpM6H3tLXHhlHlcBV7fwBM3zq2479GlJ/k3qfnGsLCL1WRS0J -kyoM9QnXZ5NPzbJo6vZmbpvZMwBVJm4tTywzFv8Rcs9anQp4XpW0S9HGrQt0lbcBl+c9GjADwTjv -CAi9rn9m6hxuiN1/1DcIaYA+UvBwiPXQjqy/rnb3otcb+KABUBVmkbJG0HPChRCMv631dfNvf33l -j/VKabvgpP9EzZCLlypwSx+r7FZgCSRBta7VRiP4O7cJC4zQboC/CpvLuj8ZYJ8n4P18XpVkfp1m -Ob7cU3Lejmi1kOlZ0GdR8jlFPQhdMN2l/5JRzIgvWk13hxbxUpy/d+kdwl5yXOCxrBb3iRd/1zBr -0SG0/nkh6w71AyFqV9o59W+WTzt/UasEsfE20ig+cRFHybnVApB4y8A1JOseWayg819Rll/JqJc5 -Y2aUm/r9YWSwMaojEq9Bl3g6UobMbCwmjYjEZt0vqOPpYNFezRbVOWyVAx8u3/no2/YgsNa7pJOc -92cRrFulPtnSN1l35qStxnHQ9oxjE6znDhCJQOkIyA69oNUV9T2VDMdmE83EwhRKaJQUhX+Y7VUp -eRgG3iK13vRmcIybNiLyqiRX+OhiF9xMTBmwVqPXSH0od/o8DfgXHT3zsODUvxid5zr6pzCbNdoD -eAIx/IK8WCtR5vgHU5wdO8WMUd7pGzSbD+DHBGRKhSJqUXtMcahNe8aSqTpFOK83ioahnd193h43 -BLkU6cpOcEOojD/QYKw0/q96kN6cDdQpm8Qh52SVZbHl2BuJ8DECIvtickG1kSN7Y1gCuuqgXEYV -sTOwAsJ53kZEYlMgcc+QOJ5CVcnqpMfYyL97SsbY/Y8uk9vGjBfUMFBg7g6GgvMlzbdLYvADKc2l -/fsPwsmAkuuiDK8sk8V5iWxT4P2aRwPbQZj0VD7N/0tfGYyLcfCiYINNUqZXAqiXGkfk+SJWvmh5 -Q6WlNUOxK5N6luw4O+34MwpaPwhXFN/dzYYPMXFCBHbchZ0uxkd9YCZa0fLxa94ZTC5RImkYTFyj -GLs62lbaVDvkiGqyv090iDBc/ubzHZU+QpPnDd7+B6wT58oVdbCopceirxCfNoIg1zud1KqoUwHi -4qC3EQ6rEyT4uMYorLpyeiWcTw/jKjPpAM+1DMcTsPcIW7v+XmodxOcJNmkl5HK4pGAQZqC1kWWQ -swjcZonU0AtAdWk6Y01Hhnpvu5iOGM8UMUMuIxx22cf/e5wD3MzvO5KzDOxXpcA3gN9Oo4cxqms2 -DYBb8kisGTmETfeAENAx0kIe408kEdGPLTKsDKWiHIMh4a7PWp/9K1DRb2xCuA4amNGqZtGVHjQI -hTuuXcQj/jcfootK2q54EqUNpmxRjUDZiK2aFookCcBgKRfdGmQcprUY4yGBNWVfgXWBTRn4ZpJ7 -KzVxBHiXUvO7DWhE5vdp5NRdC6SGAsiyo8TlFXOgzDIPt/Qr50pvbQcmpKTr2oJtLx+F33GsrRKU -tBlpl+510Czhb+mLwGDxdt7RtO47nalNUZuhAuAyQt1cvaW7hpXwfVQ/DTzqRPtprxnfbZbF3ejh -1AntlQhxMgLHuxjCPQ4lCZ//U6OSOeldnZ7RgpCvE570BdcRFHi7Aemaa72zyu7lc2dzgyyYFkdf -fJ0zwPrKufmC9JKptBFBFIynkBwv6uKBS6Q36QHAY9bkN7iCPUVgaWFOftOdxaRFJo3zCSkRQW9x -tGYqBiuxuCLtgxCjpO+6Io+9edE9KCdoqSJAoFrPDyD9TJ5i1A27SBdFBxT4XBkY8LI62iGsccMP -wfCGSgLA/l8dOvv12yDKMpsGEGz64sXQ9M+Tb1VbDM+nsSVuP18yAC2owVrq6CPovecRElACJjwQ -ogTFXD0TMehi7Pihrf7ffSiZhQlYBoAJpSE43xPOFhCtn/f+gPgwO7ee254pmJfpkcE65DKHs1Xv -orCjwoNN396DyE2vlV7sHKI2upAuZu/smp+3WzH3PUOzs0IhHMgobRb6UcOkAFqcwOf8gFcmWCLN -SMDCirQL1e8WGa65k+/vzTUIOiGuSMw/EVqgcibFJXNs3/LIDZNTn+rtyf8N1dNvl33Hx1z4xvFS -y4kSyOPhJnjw9gD2yEaY60jFwrmWVgqMse2yi9M3ROgzF0lqeggq8vEhHmUoxDdSUrfUDOWj370i -kAKjITEBsgmaeTIWFLRodJPCuOFI3bUNNsXDPFA+AqUZ7pMOX/jHDPoTzu9EZ0cTV06C4Xhz+CGU -o1V6TmpC/iXm+rWsbwYVuztUaVtPXMAuYoZqEm+5lPjA9LTEaLY/VgDO6sp27/hvY2jnRtlN1lfj -4lfNuwNDATbTyWyhuJg0PQZaNPnXH2rtAVO8ZYehKOTDhZ/9Ff5+xQt0EmbdkIBEF/Arr0/9SRtg -IQ2eCi0ey/4GdKNly3wyEScxh9o8wzKT6NmexyxGydoUZmflRbMfyKQR/10GGq31fp+SXb9sIp9i -euuN/SGufwhRlpLv8AoBOIFisXz8k2M6xMWX2Pv0IP6Tklmc4YWiMwE3S28ViIoKh4WWHnD5/2HT -G9u81fQiUXyYwYp69bJ2iSoexlxEzozmCkPEbtdrSu3Ydmsfon+oZAvWQAD5zfnjyrr8dVZQMHBf -qBuhN/xc1HHnK8hfog/alpUMBcyUZ9d7P9ROlDkiMK3UJBxZhz4KFD0uoQtcz/vY9YcPy3MvS2Dq -xftAg6Jkqqr4M0Xe/Bpt2Ka/h8CdUFM1YLonnZDFgwGC22WOmb0yQ3RwR42nTA/7lr+VwxDj5GLh -/Vig+/tMYjX/GDCe2VZ2QWxTKGh7ibRUS8Hu1EE5y6rE7rK8JRJc4gTvezlMqIghvInycnWFs8PD -KAYKqxLDpzMRCiDrp+NzTmxhioQ4vNZoN5p/viPWghKZjKFOvWVPgI+C+aGPsadw9rA8DGcoQn6B -OkhEWu72wgW2B/M0gDUpPvwQNPiCSiyf7CctzqXkrZWLVbCJGd1LPy5LXVfjpsdIkseyS+V0/Hzk -Z6LgyPgYfXLVJrsTP8O/r8/YgZiBNnRm5JcovtJSVp6ZRtvXcZghUba4YfirHxZ9IJYE5OZiwiua -amM+pEpOjkY4THtiBq4eOv66Ht2Qd9UwQzGvjKZuQNSStGTytkvG1OBFvC/xISb/J3fTwqm09G7r -VGO8gzI2WAudw5lzOwNJ3ROeIitsdYNy2VIvKvo8aexwvcrY40/MKcNJ565coW4JaWw2NE4bKzfZ -zhTdXVzGIbjRHLvxHeBnQz0weFb6BQQosKdOTfUtgP5wb0AFexfHd+BxwnRXN5Ue5BWQff01afyY -zroQDtqdI2Lys4xtcG3bWxaXubScij/ZnM5DAJF0T5MeQW1vs2ZgQz+vg6n1Ow2IjgBqbnp4GYnb -D8z/znv9nOkSQHq03OxcO5TG5uk3eMEWYxCbVdnvS8HxCShyD8NCjQVEbLd8ipxtshofxsIqu2sE -2mEeN//mFt6WUXUhoAej4NDwEYadiernM2pL/Q+DTpdbmZ7DTSe0+Ypm7EiUjTRE77c3iNgxEJcm -nQickpHVeBKUeDYcd31KJswuEG15b3pGmC8UkoWx04Ho490+iRbeSNsOhjURj1BanMS9otCbgCQA -ImeBivTVjrhWbTJIyrQNfS5mZw+HJZBHj+Yp4YWMpEedslnPXcHV/e2VDwEAb41ZIhpLYcHjy6nB -Q3HdgPsUbHXp38NPSYaDkeKnW4rrQ6+HdSrhYohTiI61PIO1lbYga6UeULeFEpTwet1hnfaRzp9c -/NLGzYC7g8Aa2w70yt/FFR60XUPljXPcnn4g1p/PjoQSwHn6bmLin5ihVU4OF0TV740bIngTB49Y -Ffuq/Wjf3fYKYiGwT6CUJ1aJnlw0gKHU+9FMz08gPyFx3xFsG5Y2wbLQ8amZFhAQ6/obhJ8enOWM -crfn4dnwaKqiLfmO91VXRTAq07vKBQAESXqOtSdb1TMoXZKULzad+hBY1d4LN1feQrkQ1m6ZyOFf -LGVH4+nw771goi6H4253ZNwhhIAima9kLRUh3NzizQ16DUWfI8F7P2fFTo+Yn7y2edOGRZnrPvql -91UTvwW9tQVpF+EBcoa+bHn0dDlhmj1HMhTcIMpsYEl8K9Cz4a9ekkX9R8puUrHB31wyQWkylKh9 -MWTZkXkV7b0Uw/wqkD07/WdUfbsKxzp97IXKQEBPH9KE7caYgrZXMoLvLqBw/sizHXJWZQhpPSmG -2DczhL4fs7UlBVv+OziS5z1Bsk9C2pMIlreErggKsy0PR9Kb31Z7scb5a+A08vhQYEOUrYdzMFia -T+wb9JSKAUp/pd8vgptniFzjizC50t3JHtlJGxRTsvOI2BadyVMKELZMF/x5t2BkMhBAEUS3vmfL -EkDTvLwWK+1T7ca3ZH1QR3fqBat6iGlB8NrEC4IBgt4tjsbukoRCWKE3ftBipndhhmCFjE6G9Ctd -nINozQBOZOmxLS1oWG2nGezoDKmeiVYLk0Omd+tP+ItxmWvP5gVzp3kjcH/DOQYpqHfCMiCD8sUI -qlsP/tLmm2G5xI6EPw3pULjrB6MjHguKbWTWdQiDJ+vnCZnSVKYS6BKppX4Y2/DYmvCaiazFwA/+ -tKjZqmznHhHfVPYwSPE9fU3E2H+vb0xYyHOHhawH5s6sZTtCLE7Y7CY9crVMZrVOEvsKyqa4Unko -LpMYVsO6gHwDmXOjB+U3w2qeeKajRak4hGOeLnjuYmTX/RVksu8kWBWibbKx1xukj6sOl3WoNaRJ -8+05lf6S6r6355XHTJK3yVb/v7sku0r3iQifuQNXqa727/GUR2GfGNAs+FgjvVjAld6iNsua2Dmw -rwjRfSeUzFRxx/IYp/gXfbF/urQNRMDKDRjdkRAWCRSCi/nPUd8oLpvq69aGUmT73f5egkhNw4Ew -nI9+fG3Ve/eIQQo5ksnW00VFmpXeQb65fNHWhyQ5H8leI3C88P+erAG9/eYWdE4UNzeXWjz5/dnA -PjauxUyf8tSFCbKx87jwQpZssWddZbrH1PZJZZX0u1rMxbpDwGpZO8Sf7OSzv4u8hrDTOfUFsDbi -l1ii2sLeSpKtY3C10NalyhWwaBYorrBgjnf7S5XWkpE28U3rZbiM7kRMPQprs4AQCxdQjc+aDKKx -hlFthulmU9BmFIqF9BGenPPvSho59wlLwquvmaM+rEpAToi11GU1HI9xiPfyYWAj0bsdhhIjft2u -KK7WpnOLzey1kawR51+xvaBaVv9XlP0PSGMWtl9JQDxDl68JX6ZufSi3BY/yOiR6wYCSv5iBg+V0 -YZ285SLXsRHdPl8xhMOvGQ3RD7ZDUbBbjGGYniehXfoI1JZ/iUcm5OxpVjOg3vnkObTIGoLETkwS -zgMNKwBXYK4L55QA1PxwCjxsFfmaLuaeBTA1Bef2M0noUDdVKM5oEv1HI8JNSIPQaDEthy9Vujwl -iawoulCwoAvgnT7MMlcfSpcVUiNw4JNxV4HMDXcObW2mMDiyUTqsfxcwzf+zfMN0YwLRX5nsMgVS -3mYFa2jullnAySTtopvuNev1tZ+nXMV+fOAFH9bgrV1XCWtHFS2Ig67JOLo/nJlsAnt58CdRRwpx -1AxPND9vusyZ1Qlp6eW0XedoJdEukA4nQTx6WpSr8kIZp8M0VkKRHmCFZdKHMBnpasc64iUrAm7D -oqk5UPiVQto3yPKzt6e0YAJLVg9cBlgzuMBRmZLodguVKZlTeuc/bdcqEq3pjZW2+jKWB2QG1XqE -vPM8cBn75qxXckB5QdsEvhO9llhO+aP/jJdeYaq2HY8HyFquK7NRN6hWPSgZs6OfUWEzjQOeg/Ns -AaWELF9FRmsh8eG9453/U2Jy0zKGea1fwxgoM7wYAEI8hPlkuMKp8gf6726IQXNWJN5bp5KiZG/v -y9mXMLsNGz43WWqVw4X7HPCCQchdibxZTgRF3MIGVuG6ewgAvKu4kGxQcT8clRjIruRavFdkyCML -x5Usu3TksHIIw82AfRZXE3SmtUacO2A57WMbJp1eFRqO8JXMV5fpn5KdPqmnkovV8+zTZJ6m5jVl -BZ1Q9pCoadf2GYogXSP4S1oVO5yNL28kwQBZpb2Hx20bQVlL90uL6DGg06w5GCYj8f47nKYTjtXA -k/40b7cRVjjXnDV5lSIaWZEe4JtYhDOI2TyAxBUzyBJp2nrBi8uzpk5vru8jgYvOEq7gIizs5GDX -AYLcoS83YKf/mfEm38uqjbgV3JECxhm0/pmROCXlv+nKCjmK1iVMClqTqYS0PH+ku6kIDgiwK4I7 -BPBEWD3Wvqk2LcQqu4YHatx6wrF8KBigNF+7svb+8679eRdBoNp0McXgERAC2q4IDce3vtoub65i -1f5JPZDyoQx3H5Ww1cj/1kdHsNVF5zKCT0AUpGNb0EmR0NVQdHVTHx+jtTcuh9idOPhv4+CZIm8x -meA2QCPDegLnqvQJ11OgDFwB06BB61RDTyBYHO5s6DKtgnIp/+iClev4LFr0+zdKa6+SSsbFSPYT -xEN2m6jrKUmh0eT7w9YChZp7mnA8YI/woPbngtbRrQaGhwh/BX+JP3MvuPDjcnZmJhwUBBBXNxaH -BfrYIj9P4acXf2bpmWjbVQp33mWMXO35vJGE7bVSc6rCDK0x7fLD/uM5Q8yeV0UC5Y0f7UMoFbjY -WY6UUSSaNCbIhwufVRPY/zOQAwxPhUc0glmsT5izBHa9s3/fr/Tf9yufbsRXN/2wZSxlkEI6/eCT -I0zCT/0Z+FeFWCYvjV+U9srqUl/33eb9Nv3KWJKtc1xVC4yz9in5Ihbv1jUUJBprtQ56/2xjMR26 -BK03yH1JhkVLE7fXH2OCTcMVw6i+53jG8Vx1w9FZ3sbpXrFK8HdpdB9EJ0NBctYpQI1hVh7vZu4L -QfBhOI8emlRBKoWR4Y22fRF4Oo/zGhQCdkoihaBB1ihnqWwMil1MSh47+01tvNNEYeS2jZBuAD96 -LCurEd1+KkBja9AfVnHFerAn/xk46wRe5bSYnDUUjj4C4u9ewCH5daqGWqwg7utb+BWS4fp6JZ16 -fdxR/VQ9tiEAnzJ5ZmJy9KbJkEHCHPtUvVFaD3UWH60wKTD9kJP7pi0QTMfzn1xruqcVd4UpNDu5 -n2Vuu/yFlXYByZXwqfhNifYQAa3K+rfllSr2jmvKXvctt6i9wOe9ax4joKAq8B9kvckPsZ8azodX -I+FwMNN4VXIBw2g/DuLG7F7jJYEHMnvPyZDoowAVemjrWWgBWPJxuvQkG101ffHFfLbEEt5fzIAG -4N8MeuBx/0X9sGCV3fMMwfQKQaa7JJ46jiW0FONsLqdMqGZoqhduC7yi+4OOd1VWOMJ5mBP4an3M -fLWErVObCCz2XNygsQAhUvOdF1WRFOVlEW6Aekdmd9u72i7i55HDZA38y1tQK5EV1kCWbZo5ge2/ -Dz6arTPiw6+dOJnsWQdbFg8a/QyOS7hPUb8awuITplEgepWNjZzuPblZZiYzCWB/ErlpfXJKNh14 -8Y4ljJr8yL+wziSk8P+mm52Z8LipPpvy9RG2yW0YvM6fVIua2C/NCmw3AT0EHVx8AVCs14lkFqMQ -uHL1B2yDpAoz6/XXOOmSJlWU3STnMO2miaX2oUiqcS//buRtDREp6ZVmfUMADjKeJyoD92z1zeSG -np9CL/7WCbQr7ZR7y5vyVguwSz1W1HKyz1EaJbEhgpvXYu455V/hsJfLJMD1CQb7o/1b1DYRoOrj -XwuWZF1TRWaw9JVo9wxoX8RNTUMEP0DF5lNOFQX7ljVwWnWU9sGqOjvmu3aoYZGcOUy8IGy9wOET -ypst0V9rBXOqxyngM39ElV6hoYtxD/w6q8ETK10RJYO7ZVH6RmKYdoz+J3/to1POpZxeXLMpr+0z -eR5L9n+Kxg33SMKEtaf3x4bi1GNDG4SWL7abYioy9ZjqUYppxFY5E5G+FMoe9Omwhd2WuVO2goWd -1aFELf2GhxDqTFo/UKgpopNoqIKmjc3reR7MDcbzBhYArtDJv2grixss2oETqxn0pB2MyjNhqmAu -3KnMk5SGv1QCE1MR1207ASTBjz7MbCvZ2wleu9M4qiSz+VUHYw4GAXVnsb/RD3VLf6+vGSqKPNft -ov9Gans5tZKbMq2M9XjkyX4hdDQoC/I5jUrTwAT26wDEFj9ZjtQPR8zMzlKfD890ffWCiN3UByhs -35cYf34ChkrRCnaIrpp07KcFRpOHCKB9/3Dm7X3Em1oeYMiqcn6r83LBrNiPrWvMbDM9wbOZAacY -PyX969k0ukhQ5WsizQJ8jnYmB1X0xe1G+WUk/GVzIZ2LgTpOOyhc1b9ziHD9yOGXBJN2FylmpnQz -RAGX63KTjJO2tLEoRX2IlyROepCvl4qSVB75LvnJTsD2BXpQT+lIhbrtd7uVueO2CZPRXU4Db8Cq -3ambbNzYl03vkEU0dWk3cO/Xo+vTSE71kVsPPZxWRUZM1s2h4ms4+OCZTXnaAjtFb8+rwZPEtUiT -9C4wJ20eL6fyFRtPXyEwzJZIqfTlhrQ6uW9RtotaZEEfnh6q0TPOBczWcwznsGRcQ+/CPU8y4zGl -cMVc16LFOJa7Ne7XeJKYhLruWTicwnbCciyYhz6UgLmXrz61OpT22vDXqaxLAxp0y/llpnUuQmNy -i2TjHl/vZVuY7Rv5zuZZpjqzbAJ3Jwb54x6+q9C3WOQhLvOsEcXYBzgRl1fY8ETCeCGqce37hSYE -uyzcZWUK02Dv5yd/UUKh8XVJIEyJe2Zc4ZMJnam3ncHw5zznyCBr7JJBZc6klow2MaAZyzsdH5jE -fPwYptq1GTGOfxBUsrdzk5b26wX2rV/nPjDzfpj1PPRoouJABqdMQ8PDMEchVyVdAkp3BsY9Mf+/ -CKcIuBwA9DF3/UF5CtOkrtNHcXP69g02+zLeQtv89DjfVQHEUnh5Eb2ksb9rzdeYZIQEcFBGNH3B -K2bQs+fNUlJlXSmFLtJklNnnWnBaUlpwQ3+4LNHfKuRlbiMiMKwcNjRoux7ODsgU66btfQFITCqx -4GbszjLsfc6ktUrkyghzMEt4mSrp+76QjGwiT6DDeGntTzATLUCJ10N9EWnBPN1Rt4fOYWb0o+yW -M7d0nqYAuxA0DMidGgqkGYCaYAorxiWxj8qG8ll05PXwCtegXSG5of6HhEgBVPlgnLplS7cI2Dlm -x01jtcfgbti2B1meWaWUt0VaGzaq/cKSvt6R3AD+rkd3TO4ITgE/5a2aGO3GJ3tgVqYmFbmYqiEi -MNF6c8amkcE3HZHD93LFApDxreJOwkrw6SS0kHI1TDbrX5DA8ZzDC+f1IMWs6qsWBA/Sp5tdbtSE -bQngktFClQ7H9/Snb/tPYzPFV06XfhC6irM5+jdyfmV87wDxrAlj8NKjWc29xDAnbWsIdIsi17lG -U9xXFjEse4m1yJAaOpi0U7t1eGaVIMFWUzfOTVZDBnvs/pDnbF9XVPFhxTBvhn0ph7A2SsWAfUDI -4h68hOHZo0Rtvfi5yBybyiSU8rxq4bMTophVVypPfmqWQr845E2XuQJB69+g9yyA4uCGrzLNgEBA -uqm10xdPHbrKCGEnwLJr7R5bEPJAAtj9OudgKyiX6+LhzrdENAn+d2bGDIRnyYLlK9OOIvH89Qjy -Fi5CR1p0GC1K3nCmf1t/ijRzzAnrYfoPxExndeS2KyMwYUtUJUhdJ54TEtz8ogppq8YZNMS9WWJf -6NmtxZMbwh1K+UdOrqYqOJG67kSlB+G/xLyuNYuV0qaWGqu6q5IkT/nFh1fCfSVdefwgCjMZELpC -buVJYXQpfYgafbaaM3exPUvK12eiMgDJiHfDw8auQXRYAGuFY0ijm4XAydBEATDFWRGw4zkmHTOm -r9q+ZxvXqf//Dw6aFjGmRynGjFtaHPdwZsttdNoZEESrmOPeNrN8GQR7T6izUeP13SCHDkVvI1ro -mCqnOwWkSVkHbCqhSuhQCow96NlWN6dQOA0ZsbQRPdybvH8svu5wma4yQeKC19uEhmsoZElMidpg -5HVCnLWRv2PdLAgrVhdO63bUg+/uaaC1ph2HikEJGzuATclG26k16IkdvQNpNyK+m+TjD2Nw34uF -USaegK8PkpAuU1U= +PEW5oJ/0xSTHhFMQ/NZEAK0uLllVH62906Ni5ouYQXt+luugACeS/YPBmBiSa9X6XeghbwOdBDVR +SwPXhta7AN98P1xNi08djtcVY8hFRGCTJdkQzTi/OEFXWI03wjHAqj0meVJkijvFG0qcjcYp+a55 +eCe/uWvC2ac0rKAgckYNHVMEP9UiJadK7zSFMawzAHqysIZGhV6ICIwxbSlXPK7+IvCHJD6Cdfs3 +bzNagFvLNEokjqR3m9C8RcoYwMdKY+vYJuOUypBazhaPl1h0SyWV/tq/ZGmI7WRpswUlv7S8HArg +C+OTqEk3SfyJMks8Bd+I57MkY8oNDEgHVOBKmbLoNwSh1/WI4xoKjwCXJ6pp4iwZqfMi62wcv8hM +hZBTuCzmf8p/i4eZM45ClbEoT8zi1a6+CD6xwuIDDTj8YaAS0siEzlQDyle7DYhAXIKX8e6vNlP8 +C9dyJOb/i+4SX5ihh2wNosY/uxsD6IlFgJUqLMHnxwDlTvGPTkkW899N6z5VXf5Q0j4vwGeoaHWA +/0oBxCLwJ++0C5tk7+FJDqoQACRAX/vzFwT8llbegGLw3NhlPKenpf/UP3wRyea0u9q37cNr/h32 +ExU3m/Il90R2Q0gMtzhEBBOV/AO67yn7t9hrZ30H9O8AEF4b4NJiRWSNknZA1zlFV2NmimVQIdhm +VEtyMujEWaMW0C65ST7EmWJW1QqnspvvgfRm9JrweMXuJCDVtbV98uY/wZn1LB7ZCU6CGPzDnJ1d +hNP3y9RAesNpv4FrZUHOQ/C7+Fj0105pjmBO6qUFCmqW6YsX+26O7LOvJk3m2MI490MhPYNIAjG3 +o0FkaM0T7iMXfL9WMhoq1qOj1vNl24UplX43yR85MhzHVsVJoewVcffVn5zlSMaBDyYGRiDLolHm +S1fxlO6p4fWXDZbr+RkrP9/ahbnZjJ7zyjHOiwgkqocvsgxuj6QPS2thESpB39Io1NSnXVJBJC9V +M8FtCGTT/jvhlRopXCMQK3zNq5uGKNeVA6aLJfEG4CdKWYBjQlM8K+cLD1Ai1oaZa1cmfDowPVf1 +QkOeNeClXaoFkys9ZwiIvLxJziRnQ+wuwFKREA+HEuE6YV3a0SNVbAKYiR2ZdHOGfOXxY9SH/YDz +5JZJY8t+M57468jQgqpOOXVo2Ykt6+lFeCV8kpt1XrGxlJgRUn+6mr+UQLCSiK6OfJDjNTAXLL94 +sK6BZIu0jVl11si+oMCFtRXZOEbGjTUs9pG59BXbCKf0Tcuj6wjVVXidLJuBSbCIfxKbhmPA2Uiu +jxvghzU+XlbliMDlZjQ4NHD/ih+fhUcnwUkdkQgKsWwms7oBVWuP2MZu1JVB5xzSWKGVa/rugonk +TsEv6zNk1De5SMJBEMks+jYsYKqncNbRJ36HXZlaQkImzTqAqgdE5XcS5I2A7/8osiaKYeY5k5yI +1e2s2N2pn463hFrHdTMfBP2qXxtwMqW7HKuOht+3+HE5Ls7y99oIyYUgjFxkXUSBFLpkaJubyXur +CetSPoDnxzj90D520YyVfRhhbvyFm3jUB4AdCWqsGFpecCRRHVX4Rr/bb32lsL0ah1HuR5pHebnX +mniuw1nnS3pyOkJ0IPEm8UBzkOEby16xBxx1WlHNBDyawhtfl5gP789iaA7R+JUTTS+3LlnltfXx +yc/gMX1/0QH5CMFI2oR6/Ms9QpBpvd8lxg7vk8tJiKX/Duc5C8RfLd//auGqWd857MfR6LLXKRRU +AsQ/dimtn4OjuHs6OqtrTM6mA8zVYVWJsDQRNuzPHs67ojMaCzsINvXikWhGdneypKxjnj2C/hlf +MXiAaUs49JlAyoYTpEiPKhCshzur9evu7DBZjrA/p7mt2SYu9HR9lTSWM1A0Ij/d79G9QAFc0HcA +zqe6FjbqhX3bpu0iytnxCf625h9al33ENZaquu3UleKrFHAFkeNa3IvwgZihRGakFvFK7GkzM5Uv +RBbFsmKBiaTkAM4itlsIInXnGqX5QaNQ4UHqqQoCcIv+OMifbqu6ZX1iSEN3J0nyD5IPVvqAmixh +473xvLWPhBmD3KutfmoqehZcuewpkdQQN4hLiJ+D8jiWW7QP1AIzqtcrQPq2OxNoto3RYTBGeAdU +tBm/6iI3hb7FRB/gngoDdpVPw33SoGkp6k4R078SXvcHhahEqlZy7razHQkCpbjRuLeluOg9ieYS +8guLe9PC0v5ZS+babt+v53yI2xnZqBhwkWA7S0Q1RkOoQtOC1M2DTB7ew/Sgh9nGWIPbXNczkcZq ++APMcsXQ0aV4Ayk1ImEI0Z3rWemidxh/6Oye52zg6IysIpqXwtr+mVTxZsxIbgm47Z06/yWp9/bK +yeUrbtMIrqZhsssIg5LK8/lGXCGTSafgGYpUH+CwxYxIDPdNh5nBhXA/TtQpaHekKPMSjiH4vpfV +OF02BsF4XNPQrjyg7F0IcYXeA7i/8Az4nP6Ur1luWFQkk+hYl8yZ1bC1jJ73ujRzNF2NmygSjYKz +HXE7QD8wi1+IcSVEw0JXJRef3G7I9oQ21BDF9LBBcDdO6Ndq/mVPwH5Jg+dqBt172000zQRyE8tE +mJ6y49ok0CYRbMnq9f7ORUBMY+NLcfrPXybw+7ZEwUsc0UGc1F3OOvse8bXTI0OSJMci5UAeIuSr +JI5qbrROWwUh6W9N3UacB57389kBrFORv/KkIv8h6Y+b0a1Cn0cABSRwIJhJaMMrMVXpxAGlN5ab +ToFAi5jxTw/N8RtDbxGsv6yssgyywFq0pFsn8qk4rCQmJc2/NkOFDmvG2vOI0uJi9007T0ChqroZ +kMX5e7nB98FhFi2BB4VgtmglYKv2mJqGe49Fwv7Bju8TIkRNkdNCIpQFzG9sAUCcYaK1j7YxdKz6 +xoK7nVD3jU2nwBpF4BanxOcF8V6MNwfuchXrKHfKM0vt0BAbJZA97mUxB2XAZwRKextZlOR57Pmv +DRX7j+o5OcN4jXMmBbsZ7US/0sY11/aYyQnMhmM7TqQeC7dnD9CP3wDR5zPZDRMm+HY9sOnD/Kj7 +oO5Fz+EP2AtSpLkM9CecCstRquEjrToLmnH8lkrmb6WwBXH0HB3xK1sIZSQ69KyN9myMcKlTKL/6 +ielJKyi1D2thnS0DKBJqqWIicOWosdvCiodkBLAhVONZK2R7ny6PVTYRG59/pJ1Wi75YcnhsORAj +CmoHaticDEKJ9BcQMmjPIStGwYqhjYTeKxUi9g+83hP7WVMj+2lu8nvdTP6a/KAvxjWRRrXdb34A +7g8LMbYkQFD8IVVWjE+DUBYf3qRyM4YcgEbvzDXuvUPE2kNLIZvmuSrEhxige3d6qS7qLrhckpjK +CYIEt3m4gkFfDwWzeuEof7k3OIwO50PC787y0bFxKhbw7P1rw8BXYVC/QakkKc0QmKd4cqn9xFtL +I8kaWqAeeCR2GOjgPxAQo7hknGY0JpsQPcnLVN0iI4TA7KReu2/JOBRbSiSGbxdl/GK8Qeq5IS+D +JBYssiP2HRvRfl+UeFsRR5/+FJhjEZ1D2w61PtFoynrfkD77L67RNr7QrFtltt16S5P6Vm39mGC0 +dKZKZuthWP+fD68pWezb7VPBRdbpCw9D/bERPo2/l5R/rDtHCag4s+4ntFA0wRXV7hv6u5OTNB1I +KuAw/g7CSs0VHqYiyAM7LKzbol3Wam6Xx607Ch7/8/U6B1+nLcL2o9vSlqK/spSFaPUEEnLG2kWX +rctfEzZq7lM7qI69bXXrKghmrgV2fwcdrGeXX1U1Ty3GszutMoqc9G5M44xskRtIvxGs1drr8za1 +m2f+fIMhbLWX3XML7elJZqoynr2JvfaCD3aMiM623BpyuQh5l39nzQUj3C44cHn7BBV8sjYHkJbt +phFqbCmWtpIjgYmxlC6PQc0v0OzNWMeP0CnwvhYLr8oqZlwv3CIANXgIOSqsR+4uGGKatmdA2YiL +P/n/bPoY5A1oPBXL3iz37On3KuH0FrbBcG38CtT8BXPTfms2Mt4SvxBPk5thF5CwSyE58aKm3ED8 +sQhQNwaFHM6ydeLv88Xp6QFRxeHe9++fEtnuYfERhVeCtefmdBbl1hgVOri0mDW6r6l4gbLNH3KH +NNaJaZBWpomw+D96N4Fjk06H271PBKlW0CtZZlXer3DngA5PZSTh2THHlrO0RkeJzNXweeRVCKct +Y+Jxr7qQSWIUos5RcqjFyZK1gORR/85w4/kQp+/vUmVrqRo00f0eFw5PtWqknuT9Kdm8+TXvCKrC +PZED32unRVCLTOSaptd4vmxujogFKW2tG8qJGMOBxArdq/+aJ+yyzsnZi6nM1/xCfRo8oXIZb/UK +gC2L9S+aIhjVTgfBd++YXMVKOeg/BeKYNXb/SOd2QKUJx4EK0/SABRcZXoTMTt383Mn804IRXBVY +yTERqBM286g5Sofry5464lse4WBPXIoaolJ/sWIrMn9ceNq5CbQKC+sVtDQv+r6Ns/z0JDBZtu9k +IPO+AvjgheG+/huVIMWODWCAvRmnyHOEEZJxkXPvMMwXhNJ1gPSLlHsrYL0D0xPAiAIZFtzdVlTE +juaXdMki8cDDl8QPR2xuWahhtx3Pkswc0F8VcgwXMm+THDy4cAeo88/vL4nSZ5FgB7qEkn7KqFHA +n4KSg0tzvkr+FGpyUJzHrbomF6YigRTbbSqqbSKq+XxXBq3u+j6Av2k243NY/DVFcw3mrg5/Wfdy +/sgijbcXtp3v/a3kILfgPc6KeclBIFIJzffy8LI8HlCQc5+NpAdOIKbQF5Rz4iA1FcdrtBciCEv0 +fPzM9KBPtfYhdg87N/uutqGcCsuXkIW+WCM+5Nmlp2S4cWb0I4RwBts27AV9s5av/BqzlGDG+3Zr +blClRiqsX2sPQyGVPuW8XEkiZCQJhMwUA0T8xvyW2ODTY8MYzuK+cPnEA/4DRT/1WRTWOtP4FLnn +NIlsoRShxogj17cZe41ByQaZPtVGTeNo43RFYOybnvO4JZ7Ww4LhLnrc0Z7t80VGdhO+pf4O6VNb +161JSnXngkvLS+U6E5aI1pnB9zuU6u6I1rB4t+al8RSV7XIjs41SIDvc97mrgt7g0PA19JFRoq1Z +EC914NHx+nxFl8cjBGuY3mwQIPCvjr9QbIwvcjX5fF97xA9v0zybRz5u/YZKPEvmHRzJ76e50832 +w3pRraRUZ+3UNi0rJfW+o2+iLjC+EzEcZGpj2JUdBqruzbbteJqYsIUZb1EiQg4E/Rctca6p64Te +gYzaAWC3TC3oS2nS8Y5aHbhpHe9Rc/HgaPWVFFtO1Ky1/pT++Hn8nUoEoFFIr2e3YjYBHdQrVAiJ +SDRTaWDr3GP7+gofRRdF2JX63yr/CL84o6D2YQLJIDFIYLQOoqIoGbQHcpP37zBqxhFRsADArDbO +zweaaVfUbSMqdzqnbaCaBIC4URjNrrY3ytDRdhS7UDRmnbcfYdQAT4sQrOovcegna2TBUqdp15mV +Ym75+I7362fusgbT1TPZRBge6xBTAXWqesZ1Hd9mco1tdHaE8ORWhpGzeNBA7ZgGA2VeoYqaH9ln +nT8AMD/PyvHOZ0xMeqk2L7rZvfpZo+OAlcgMk8E/ElsDEVYEaGGO6GgTVCPyM+S3K3KZ+2Sh0DSX +EeBYi0czU3l8nq4i/uxDQvDSq1azKps83sPSxVO+0S0FaGgw8hXUOPlGYlZnFOSoe+aaiIdLt0OJ +Q2WvD7cj9GQ9l9tmcaQiGnnz85tyqVO+X/drJA2fGM3KzAbpIi3b5mHgYcwUuGTPIsOVTkuvrOBM +pdhN1KHxI+UbsPIVGB7Th8bqqhiNvx0tv/UfkhsVyLna0Uo818RorPF3TVBesKnFOZ6pcPKeXfUK +fK2yQHm0z+uqlWqgDtrcX+9/PIxBE1PO9az/lxeUUBu4RBls2STZclZ5/GHaGiytBvepMMHk1Jkz +G+xbnhjTQxmxeMeo8F4KTZEt25d/qu9ZgT2z5zx20CSrn/e6bcQ2wvQxmSCvAhNe1byl6XXQ+0wL +ONhwaCuT1U4jA7XclTVaha9cktwKsDBdnelUDgEq7XSHTMrNnN77gD2ZtCe8CoBUwFnuT8ZTxQIU +tFmYK2i9TNPfEUcfR/x0OSPv2oOPkDnqMNaSSCddfX6zPndUkKV/AepW6RR0J6hftdN8eitgl0XU +7nHLfCjEbfhKGqtiGsiC8joN9zHKaPAPDZ/DdC4WQY2inX+0D7I+5G/dmcupvs7uEFItqKSGPoF/ +MXk8Z1/ymz3OK0WOCPYaOMchvo4/oZiPhSXnHoti/pRzALS1x632RaeqHNJK9QZB1CyiAb+019fc +M6WdQLUQ7jSMmeh1VR2Ov2ZSu/2kFIsn5dnZPtvOaOhAvJL2DFe5kvSEGOazhrJ5dLOBLED1j7Jx +h6nI+BOFNOJyL82QPGxKBV+2vvI/WYYKBGTauo/H4hROHexLFNMq+/TILrkdRiGAADfzNKcYWse4 +yQzG3cAmBDjRpV7fd2cA1dMOzuUlA0hSfQnbjiRu/o4fKxzoacZIsobO1caNh1TK0EY1ZQ25Z2ps +968DiqWrVaipkCXZFeC6y6RZRPCy6F7IA3Uug9cqJsx2Vfr1fAkqDcwZMcLA4t9EGBiEJH9mSvKJ +nLdQkUpRTTiIRslzkoPLALM05gGyqNBOj9wbGXDWiNZBIdpv0urlhkq2p/YHRZbu4oBzrKqMmyJO +XtEk7G+Axf+PS4MWH9a2T7d++sFq767t7XqymI/4XRlnPmTfowQWTAJL3sNQWzy8+zR09Vcv41gV +4ojMJC4FEvHAVfRyPhIAAZqzUvTVM9hDx7QBCYoMRQDA3Vj8a0oGbYO/nIRWwG5tqY5bzGZcZfn0 +jnXlBsJpOpYx0MFgYpvIipjIMVb4k9U6NGDK2hhy9Gn3SEhYZn8HSKzhi0caSh4XN1UPVdTvwWZl +jqxsisOBl8CINV5V1g6EH3neqJHtPhqFRZJmMIBQJSs1k2J79wu1oel/GvYyZE4ARw2ZyWgN49gs +WX67yjBWyCY5gseDG3L1ogYx9sgPFiHa29hmPEy5C8vHIkVsggIdlxur9s52I8anldTSAYRAni9b +qHxeKx+o1w82YG8BJC+Cz0owdgb6WqVCMYMwH7OTv0uih9G0RjZXgNxxkRu9ug1YlRl6R2pmAhHU +NuxH0FLMGWC3wRc1BTCEtcl2gtDkow2CJNUJ5SxupXR1SEvZv0EHZp2mOtgCjPa/bn6yazEGlpQR +V7rHiC1Ruxncjd5OrGVNKXDs7+ZdeA4g+rhZHnfBc+608c4qALFKK2KFJyZ/aG7TbFnAeGzXKLzO +cBMIRlKvvM7lxXbOkzAg7zTn4tC+emhJhwVfgvXeHMUnhmYd0cojSwLvAIo6CNBPDlvZWQD3AVbQ +NK6LCwPvFwuj2YOnagsXACJXGrpmbD8UkBg/J8BUNHEsTzV+YDJidRtod3gGNNWw4G17UeRt9CAq +PHsZP0FoKoOORMD2YbnWF6hvEYXCyhvzqGcI2MNkULQe+wwt4mim8IkkDxS6O/3dW3IT/pzmVdLF +EqjM5e+2o5nqnDxuKQ6cojb/NrkD25KsckC1mWm6yXgM8zpTYzg/Bi6Kfu+zoZAbRw30Y016Ztb1 +mIoHQu8mxFhaH+tG3ejKwgPBgSgeZchWq8LPi7lzf2JatPe5981scozO4+GLI7+yJ6EuQfEDdaVo +D7+LmPddA8R5gVzK/+YcLuv6CkSxjJuMt6sOh0SC4q/VOje+Gw2oJZupN2fMqxr2ul1PV+Gnrj/1 +xncMthS+YojFwoUKh9w8UjJviNH5D42VWtLZIv3EdH/Ct2c7FUtvFVmT+d0EbtXUvoqfe1F57/HC +V6pauhlkcgSOP2jcLAd7OlXXQioC3N+eMZS/01No+lA6zzxa13R6i168UR1x7xo/AFveBz+dOL10 +GzpfOwqs1dmnnaZeTUnK5nYhsp3vNrXb1mtxFgdGcCapFHrBw7wQRkcgH3beVrGppVla/XZmobA9 +8Fe6p9Sc2+lcvxU3kWylE8OYYAuuqiiA3E6dzn8r0UGQzZ3Hdzjb3FPwbbUV1XzZzH9VqQKw2xOO +LKfon1OFvhkFssoO4gt0QtCE5dsAdt2KIlZslLmj4MPpXMVq0CCFbMKqwMNREQYrMyPn3pecBnqp +CGwT+CPvQp6oPptbV+12xgQiZwHii2mxK3mEq3sK9a3Uhe4fe0Oi+GFycjT+LW0dvJEOb7+koLre +9/mmAtG7sqhlSFrc79NC/pllv2nC2/ELX1tOos8zCv+zg8r6JRWjscfQpJvwgoRj7pnl6Ys5rqkM +fZlPUSOuZPAzJ1zzD3DsOtG0pecBKvrhVrft8gYvNjKhOF+dzoX9trHQPk1mC6l4hJ4vyxOSchBD +46ZJSC0TgQkNYdcA2W2mj+O0iNVi2dMtFqJeCnuQDkrlaacknu9un6EFA3m2kiBu/qmUtSZCg/bL +LxQflvHPDCWTdPsqFzyaDlg60PNiodqilGkJXUe3guyojIvBrAC015NXX/dyXF8D09XKFi16cAXa +zuakkAK7+iMmn4SbRY928eGFWJ9b6WmrjQWKmjYmtBLBRRNLGSvVNPP5eZENbe7DC6ZmnXx+oJze +tQYRX3gz6vIVhHA7ybwrGr+lPbp/LImXScYaiiT4a44U8aUdv0kulcVdjH5mM+ys2OjeN64X6U55 +m2wseLCX1x+cePz21bgrwLuq7UksHlPgy7WXGbsZg4NOqJsyrmYxP/UBiKUiiSpO44teEKAcE1z8 +6pYTOFVqqxhHZZRxK3HCJIrtailR5sIwfYmwWsOhEpauj3LrrzmV44Koj8K6nJvZWN4FCN7kNDHJ +lpAlF1Yc9Slp9h94OY8h17Ru2PWrUpm6E9Jp0VZjCCxp98xWxkgG7Zf6xo4DKT81RQTBq8Ap/zN8 +2CPZVcLmwgmgH7aWqt84fwaUPuUlKLddFQYz0P7IcyCMEYjDpo2JLr65JjnY0/w2NJnP/YMyssIu +5i9wVMMIBuDi4jW2LP5pxkWqaYkHRbx3VgChwQTIIzaYlsw0/tSfi+T2ZfLhmmKYfyvf9dlfWU+h +dN/Bto2fI7YPhDlAqAeVyYcDlhimUiTat5YASnYwr3MDJtatsC2NqAOseRddQOETqx9yvhUR9VrX +AXhWWWUHz8zYeMFxVFigeUhfYaxnlt8uZMAXI4A0MLY+Ltkl3Sk6eBAvHBinY3Xxx7fzxeRT2ncT +vIyTQBYH0Lv9UDmC6wlNrIVWpACgujSEveC7QhpAbS2GAgt0O6q47z4tq3GGYnbbmpWjukBwRaJI ++iFxUekCqxcBpRCAwVxGQD7wRyv0W3eFOu5FOy1InStVQ6EKN/ozyS7hlUsMPu7vY1RNEqEwhVAV +S8aZI4YQPSSEBfZOtn/T8pbSq3ThMimQU8aZvJqJHXNXfhHgojwOqxBiKVhxR3MIXSCxVRhylCni +eV7mT2vlLL9eK+INgsFfXAz3+rhuGjwa9tcMxE+FGXt6V4p+k3w1fDU8aZ6RmYFJeUHFRjtVIXAs +SBU+hUggpT0cEqyoMxNvRXy3T/ymZSz4dXaiHprnMx4dfBPy7cga2H/8C+ReUYRZ4489vlnT0l+4 +DwABzqvJsNvrPZjvVDK78s4hjetYOdhwo3T0c2G0HjbAhHq4Vxb2egmtJjkA9QFGkswbcLYdeueu +fVMDHPB+bcH+9sXCfIaEMqrmaS4gMevYQRQIxa1nbUHRJs4a23prJ/dPSKU66ae6hU/d13C5P6rK +oDy7v484UJhwvFUyyVUsm3llXZTdDPjOkJBpllPfvl7UNxmT0vsHBwsz4reMvuAj4WPetwKCd2Od +/ZZ0gHcPUkdNaTF/X2OhQUiix8daee0RUEfyrsaSu1ECW7DlnRQvBnxDO7nkVxjUb2dxWLdBYspE +zsAcdlCLc2dylhu3fupH9piFP0moZLo3e3pHoP/pZUzoWilaq3Hbt6Zx2rdT7JCupjku8qJ5GBoX +4lEDmHh1irVquteRGsJIFQgcMtFu4q7eATgdyYju35oqDYLvSdWBMkSWS0HQUcQH/Ook103r0TSN +uc9iYbKQ9mqBKe6rtTKRMyqoQQ+Gt0426gVnovwrfyw1v4COWGoQ5GAagfYRxSvenRHtZ2Yx36/4 +Tghug5rkbj5ez9hWG7h2f1uEIL8YnL8j3FBrOVWZ2OkqWWJNTYQ/Rlj77dZ9pT3dk2181wI+c6ie +rJi/9778hu6uF8IqpNYwLg+Pbzqz+79Fci1t1zAhGDNv65HFqvYYk/11cgTjx8Brk6KhQnceACqw +/siPFsMNo3k7eB0DpjE7F0KDZDjUkE/1FTdPOihaRj6+e+OPCaoxn6sSajgE8v9fypyKEgoTKMtj +PFqJ8nL9VZMAVfVt2TIsxkBzV5joliOKen3Q9J/iPnQUCN10BV/UtVvo2243QXnxFxeAlPOHS+Hs +ae2j7N0Gesnpnkivga5uIygKTtYgDWnJuAVV5g6X2QOjTwFu8ZbOk6I6ZkUzk8BMB72CJyvXrvMA +M3E6geznDBq6jp2anQLgyByO/hiEfz5NVM5hUJSj0c4qojJeJKgAVZZunZfXKkdyK4ltgxr4y4/m +2WssbMu1/rPSm/8ZGZPswlW8+yG52/qJKVg8HY2ed6nK2jIbS00mkGShJL3EMbh+ACiMUerQgeFC +HxghCPjYZUuqM5471QRir1q0rqSWu5C/LXSh0j7eKA/fYRlhh99t8kQM/mHa7RLmlPBHfMkas7xq +54nfcIaZ+19E3hOukAXlbVEg8FKOO6az2hojEwAMvIuCUwfIh26FnE0onYe2SYE4HwWl3iAU6q9T +KQ7iYXHXortrBtBQkTOC9eLi6Mke1si3bQR6b+ehJUxMJKmM3QoWNHxA087f/AgRlvL9YOZ96EmE +2OGlJYCgWnhHoA0zUtgItHdB98kjmiYm0XiivujLUdE4YGAknlaxo31M7SPdcXZDAMeQFAeWEQOR +NY6F2agS3pPJKKRbktHD6cJyusTl251IADRKaYSqNvWBw7ZeU3T+0i8nQfJfhUQYJgKBbl0+AIO7 +hQExBm6pFhpx6vWePaz4HH0wOAtXni5d+e+Mgs3dxlxTXozkOJ4ZGy0L600CCuV8JGX+vQAP95Ub +pTlj/ciLK+IwQnAXtTzTEiuYqULt9nATm7XJldDuBJ4V5yJmVVTAl51ElPptHv3jmZR5TyzqAJRV +7GiQ7mF8Nn5QdBRz+vQjT18L+Kn1FBaMin+6Zd3lLvan3pL2tKd/zq3TgJaHMpl5R6kw8/b59y+w +iW13Khw6vkOIkHT3WigevAB/s03VjJLPaFsUfYCqU4H3U7ON3DCnC/xboB7qSrRPRGddJbonfHx6 +kuTkq0i3zUS6Tos2Ozd/uYwahY9Oc1typkX1Y0YLmMRP0G1TPT9cMLPNPvEIWkfBzHmXMotwdj0E +oXsQxwmkg9GcC4ZYFtET+V7lWTXZ44XXM77AKrx0voIXumDzxoGflQvXmSIwaWx6NzA1eV3dv5Ce +dUQxNHmKNS/k3FiU8MKWqajhab70S5r+A283O3GNVD5KEfquhYA/frd5NF/W4+Oc6TC7AsJI4dtO +f9sSDvncy8gqH4/rxCPB44vEtHD2gmW+5QQFSdrgj3nsYvux+syiL92C0SgtWciEfNq0cApBVO5y +hgg0gn/PFq7+rwfMagvqNsY4qoyWBP4VTFrKe+0cHWPfjBFG50C83zki1OZ+Ktau+CdFLVBz/pSr +/bUaNvba7U7SktLfKCshV7NBsnJ7btBCxHkFmEG7wI7XaRJAMpktgULfaPewrxp4z/dpWluhaqPr +CkOgJCKpz6HRwHCbMfThquSIds2aK5ljtbksTYKHQPOONecsvZXUrQz0HOEf50E9oF+DqixmidBy +MHBn6IaVzP2AiZE/eqS/yjMcu8xDxdPTQWjZ4704+xm6u3Wnai55VUMN30FnLfzgp1w18GQL36k0 +TQ6Y08EUH/m5z4oH3tWu+QAZBiQpANHFKlTF8racHtzOhnaMTSaFPGjA9swzEMkNk6V1Qpm73UgK +UkqxRhiIWaEjNMv++t3vw19AbDS3QCCyDQhQ+tsYZHyVUeoDg/+xTVs8SENr0IfyyUsM2uA6a730 +rroKZNkj3ocm5+Y/wufSqdaXZC+F5pQZgHg/TdqieyIxZooJZhL8U+5R3zOqOdzIrdhnBuhVrCpO +NDPWbTHvpydC5b9JSwUuUYzg+LIlKLCY0DDKjJC+z8h39o0aPERaD/vef6EpnyVbiWHXy7tumaXK +Vthd/WV0YrQPOtU/GJY0N2ostxKSu7Ua1WhM4CBpNWD1GpFcNS4d+MeuKLMUy7C/nZoZPfXBDdOK +bse6oGUYanUQ7vJ4ScBs5FGMR8ZM2XMxOUrZC1Kl2EqBMUOqi8Wale6dXW3j1R29C06t9yc6+Tso +XHp6zuUzRDtumuhA8zoZAVTYO8uWreQERngXNsMbAGKkwq/crvaPKS868cLn8Z6uHdaibziO3sQ5 +Trzy44LabtMuT7Ak3vhl86yLjz0yVFyc0K/O2BoZFYY+c4gG5jrX5gaTtzGVfDnArumWSjljU/KP +f/C1mmA3K687KB6wvmmhniSSZ7Ak67u5tm1gMHDmm3H7cZXaoi15cZLR3LWQC5JexMGFtU//ExLq +R3metWh7XwGqxr4ZJCRaPczovrLTOiVIKB6+EiiS4bxP9T7BAYdhBlKp4rMhZYQHrnX93ugIWRn2 +0PlRQTP4tViR7iqFZecoIM7Omvkawy6fb4a2EmSDzjuFWqL7y8sAs97DRztSEeu4QEWYz9aVKreG +8TFBLWN62bgwn772TSrlS95ZOpKQ/ujWlfepDVw0pviQ7arFDuvBoxZY9MLhO+N+CM3PDMUvmBOj +AhZtJ9NFZTS5uEPLmqSTEnLspFN/j9t/pY7t9gOMpd8Zx/jteIQ1gDJ6jbJ7H3FpK2gLH1P349E9 +FOBYjjuuP7jE2F7q3kELGskcdSA2FqWigx7W6hnwwiGds9M9JOUwjWnIBK4EeaEj+x0kc2papth7 +p66b9X9Xo0gQsNqjg/dCP0ldNeTBys/UdhaajJ4kayrz7jeXGSmZH79DGUkhyXSjbF6ixIotKVEU +qJAuDbyVHFC7uceqluycOYXsyNxlNKZ77rrSp8Gpdqa/+ROUvTlHEtMfWf7F5njQ6/fwtPVzU/K9 +rZP0vcwY0PAPj/Z2adC5BEHC9zQUQef8iA2IkZGCHzqdZdVaOgJRsSFsBgEQkQJwyhlPZ6iZEeg/ +tHf/by5mWfLR7VVbQXo0gF7hpkgfll7c6tquLDIUdpx94WZPl29fVS2VrHhluUt3lw6qKDqSRpg+ +VjYxjT7lW1uqxLMIzKOO1Ux0L/OSdjlVq4X6AvcglaViwka9NstUs6MZSyFRnVRWvdX+ihFUxvoq +FOfaastAwYr68R5QDGGhRkHYRKpluGYOu4YmAZyX10VRdSIl5j018rt45jKkdfJPvi5AM0xNRSWw +tx4AV7NAzcjxzMeo7Cx5jHBCtTBV4e0Y7ez5aJhk9m3/jKFlbuicKoB9WdKpddR55eTKj0CKLrHz +sz2z6RY5n0qRv8n5uC8gzA4qEaJ0hUK7OGb7hc9LfXiYPk1GXvuPAjU1SUtjjxvQ6Mtl/HskTcrL +VNipaDlTaS13UssLVnb0ed68MysEKtQLGTTlA6YU+uRuyasiWdcAxl9Bqhb7WQvLvrePXiAugZwT +eB8fyi2stHTkWr5O0FjqfcKDhzGc9LO9JlkYuNMbuuqIhhCK9mnjZvNM2csAEdDUERoMs2NlCSmc +OfUM0rnegL2e6IcElQ1WuIvDjbW2k0uw/RcVH/CA9/jKq5IASWL4WE+CbI5+0im/a3ja1rLNp0Cp +Y44mnPOI+0aM8RcYsPEvGQtG7F43eiujDOSx1aVAOLBDEIqsqhDIve+Ml+h2zKFP3CgOeMIJcsmJ +dOkEwmuWoBw+4k39XA9WsRBiNw997kgbybJ8oLLBOfvNem9ZW0cCSTOcUu7J36fpgwZU6GLWBMME +28DxTjuBLEca+vkkw8DZf2LIVZXUUVprDHbze8QUK4Xi5UhiL65APaFQ7ijd2q3wO8X/KSCoNE7v +fh6IsuG1BypPFx8wXy8BHkf7AKVusSmE5G7SPln74kLdG5DTuG1vCd6cHzT0ey7qlcPB8zsqteDF +5O2+7ochDfAFMacvJ+si0KaukdrWkH4aAnVstGWHmoV1EdQRLxJwQRxXZ1Zf8zFtT+C/5khg0A37 +/foUfFGwZ3DXnPT9tK5zipVEX1HjacLEDz25N9kUfWcVMUYI05KLc/D8Ol9lKmRtbb3uZ9aBq8VR +Ahi4e2joD4cn8EiqUbJFUCzYbgRXc4DDzEqQE+3UED12FhHmo3T7ajIinOTKiyCr0elsvuhSS/+x +kmndviSRUC0csooAr1afsiKgW2s+sp0exZVUR+pfiDeWlLDaHag91S6egVJp+C8CfhA6pqsMOcHc +4gJwAG2W4LQlpsEibWX61uDZJd5zj+wFApZd98qTHHEcGDPo2I2LNld/yM2U2z7ELy9jQKlnO1/y +uBrLrxQurpGGcz9AWj+H9Mw9iROEXbSxSgN28QZU5wUTAUY3tOvgPjt6K6SmaLpcyLGr/tL61mcC +a9skZ6LrV8qUe2vuUq8ED1AEO5hUut9n6s+4OBc4HyK98xuuTyxAFHusBG0L1FWYaYARU5T6rmC8 +t4HLiYwmXapISotJGmvXhXWKphYS67HXjiumxfZh+4FLr3gmPOGISb+1upLzL1aCkNCPtgk2OFxj +jYI4JHLVWzFPUw7fmtgGHIUTXZhApAQ4ccP3a/NYaRT+EUBSRPY7pWtyC55L4Z32vX0hxuVAZqFP +1P6lTbnVRkafSNIyoiLfi3TiiPdzmS1ZwCxGskF+j54AbZFbyLhOMQTQtQtD/8I1P08JZunmlbxE +YJOMYIQMSiApjOKhiZ199CWk+WYq45rGlEkX3yoJtm69c0tpJvMRp/lnTQMvLRraXj1KJm4wD2nx +GnmYZWbpoz1ViMGLDMIpYMgqTwkVbUN/V0Qp8Bt5+0ggKTeHcaJbcruu3+jjDbsC+IPkQP8Hf5dY +ToVBDHuVit5Hd1mwbQrXAvJ8iTguSu+THw4vPc/qF206zPGNBMdFoDndatsMqGLPKCeTELocZjHY +QrlPVuHh8GToVoM6yBENoQasiaHCOc/Ak3Km998twJBLwQku6EinkMXwOEIXYbvEd1pGCc/L0aLP +YJwJZXp/4Kou3njJJ4JL35VEhGzG2JV8ViZu5d6sRyD68ZOASkUBMGuDvRLT64p1HqQxEC3k6Q1V +DJi+Hs1E5eahvvcm6WQcDdDEstCzeKBgfenJqatWLah0NHjb5q7jPQ5Lh0XugrioNk9ZoTNwwe1r +TuA6tSSbGdJ2AZjQuxTyoVy0SDCi18HVmhAPwqjsOmaq/810C2Dmnih7y0j1Pilm1q7U6P9N3UlF +DGUwffTkL56N3HMSlaohBLNVB6G6BarE7FcM04+m3sJ3VFAsGPl85JSQYozIhG5mCUajDWGQlUhb +q9Q4XJtSykXF4LiskCJyAd02KBalkve6qBt+UNydbrWKeok2OAM4SFLVhPt2GU+h0Nwmi4Od3nvw +8ZkacOgoXY9y4r62A+LaTfiUYRM3KtSSyJPo+9rTQInqWRy0/7sgSEDPGdpZU6ZBhAi0m03H8Awb +A3keGTNQL2OTMB+wcmugf/VcKdGoZLg4/9LezrmQAkLLpsgShaL163dM356QfQhm7kf7ybLXxxIf +4SmenW/kdmtsC+v1HEYohIdlzrBQyDeIqanp3ay4g8tG9SDUeN0/MgUukfBZsd1Hr/NMnDJ9j4hH +ZeH3bdibDcrdTqa5DEk8zhhPwq95QlvfgBLOyBtUhbhQkp3xCbv2046HvlVdTyw3p0riz7+MoqNS +2E7JY1fES2NcvWPzeI6fVzREM8FEwp/hRwcZBvsXw8nAPM+GoGSVszHOIhPBWyQXzWPfxeZiLFVD +nwZVz44OTIAPD0yoFXIymC4D8lph6Ong/TFxRWpjSK0eOFi8OSe4dtUYy5sF3emLc3Chf+N0gRE0 +ULzO6mwNDbOJ/BaIQzlSM9meBHQbEyFgjkLLrU3zrxC9bb0boI8d1n6LaFaqbtbmmM50bcwy621C +cMeZ0xPHgbcz2nmkRfD7+yT/dyJV1o3ryYtow9qF0YfD8ms+9zl86Z9A0hhNwF+LvwWjfmCK9Keu +DPlrvBWw1xzuCwhAumH2Pcbo8/+COHGr6RHOgCobse0EzDQ6/3iFlj2leaEtGB6r/oNhr5xsZMmN +WB3QVYxN0BxkCLeDjROwx/fi1mP0/X50wt+oVgQOeXoOTroy7OZreTmX/Oe3YZKc1j+yYmB5JTu2 +NUqlCPJaRcf8/JVYbPeyIoAeUyAHPlNC/ca6uZsWUb8fLcJpXnpl+aE7yqebCLQFr/LIvCjqCHCw +pxCIHZKnPXaUZ1Gt6WrfkqcSeQr3zbyqt8tVPMG4LN9GKFqtUkX57jTKk/pIAY+RAvDqAtocx5TT +JBuZ1kBFgFC9DjkbIrT9B+2TWOYH7MUdo6VHIYQVckBvUz57JlqDEHtJsSG0AKewhT6lXNs1V7xw +7wDPgwTMaingxQu+51QinCqCqYNqFYd7kvLzHNBZneIAsxQM8BEFM/Uy+iLab5zallKQl785bP4J +iQS49+P1BEQ5si+H8IyPQxbAy0pXs9EG9hzXBjvsyZyd/YKL5P1pir4fQz9nX6Vfg4+wI8sX8/r7 +3+PHPCkPbUZ+B6LnJqUOk8lpwiNktpIF2GEFEln3tmnCU5vcuHwQC2t7XwFACflGCmFwEgvFJ0oi +hCxTGw2me5tjcUYo4UlKcaf5sSBPPzatW13JAb0/58YxsNeZ0UfWDDCcB0534ZYs4Nk+S3x6mcK6 +5DqJDih/L+25nkECz5yiEDIk4AOngwAp5uSBxOENTRAL5VACD8FkB0RlJjnzYwPStXEnZTQfi5G6 +wn3TCQEF+qpDKpI481o2pbPIA6Rrarp5VFwQsJRIz8Hdw0pVe+YXNWVE3d9Bz9jSOo5hBKyDDVAa +Ved4O5FgosRCORXwVONgZebrLpHL+bcCtvaBH8cHD7zdRi2d/yOlaJMFl+LVVnw+tLrlI4BloqNy +gH0+21E4ILdY+Clen7Zpa5VwGyYyUjHNsxCU32PWpbEbwlvxKPO5p6BVToOZj9RyJbd2P/UuoU5q +eE4Z989RC1O5D9WPuLNsj+SdnezzbZD3PiuhHJ3dbG/qoE9DGgVbL5u8j61jXBe2tMYaXOiDJ6Yc +fUjtSvyM9fObzanu34yCzDLVN3lUOOMKGh30cO/SW9D7qsYVrwKCz3XFHb7WZHK+n4GGKaVlkeLl +6Pj6WzKbcX/fRiNsGucUivEIIKveHkNuUwGbqxre+8su7B4lNFGA1Z4hbdxg72ZsIcFAv3EPiYle +OWo8BzVNo4FPf5TjyEiKRxKrWrEIBc1kE/FSo8bvyTTh+Yk68OZwZJEyerrgrU+gvfqo7qOCI6a3 +YRaMUrzMjqR5E4bwJ7Sl8VVaoZ5xbzUpcJkN8CK7SMH4nd/Kw54ui16aznad8BZny86pmkrAqEIr +jU+NuAaoWvm3ebv5QrJbHrM05CpBIgRWgMbtFlzFXzVYio2C6AceVwKMvm88hWeWW7J6FoDE95w+ +8dj5FzORuaK1RoKUV9e3nJBL4RLjQlFbECg4mh4osC/4lyCFb4xU4B5XB5Qd10KjpEA1F7hRuX1a +2O096Y8nuymsK/eRsJD3uXdm9xdhkEvDKDUU9Rur3DIcO6mGiAVo20fKx024WZZeAgYfxWsHhnn5 +8CDevWqka52+ArE3Gt47Y0TAGmAnT/+mYBEihkFr9ilDIE/z5TQt3Gq2Qlxya6GWEv4tmF6FTxLM +b/CqMg7u8GN2aRhV1voNIS6jLUyyXjerIBRESx8M8dG+9/mHeRIf1B03lcYNiknVhGIUJ82c4oGW +t+Cc9vPIHpChVuxzYO0kfGlPMtbK3ZuRf9/6IxQDrKYfzOjqkTowuj9GNqnhnd+fxvWju8Ngk2uR +EIvohBqLRskMbrvI2lpxWM7dw4Pvz/vn4BK7FhhFiktwql19WD9sC+mi67aonVynE9x2NbDtyKNN +kLyZiFvWt3W5X2MDxmzo6HaIwtBklYlXkqOCPJDTGrMgzC0SrtABZvDH964jFSRH8zvjFuQBYlE4 +/U7lIZO2giWQeeMD6jsA97GYYqtBh6S33EymeKM+njCXtKjB/RUAPcTplezJUk/R++rvkWJ/8jL4 +LjO1MABtvAfCUGJCiPwgPFM56Ig92GsoqQM25t9DU9OpaxbVz9G4WSaK0Bq0x7bLb8b1zwWem6JG +UyCuePnTt2YcIDUCmzW6pcGhbVCDJ9Tng7yTQLRQzjmHnE/FT9RMloGAoiJSVYAETYr2wc07ne0w +3UgA75z4nw8OlPoqfi4wapd0PYFXHJFzZXjq3ZmvCyWJ1VajDKwCeZChpF2cirbq+JqCTMeZF96o +nfACrOt1DNUEdgH6UsVLWlF5raDo+xLHq9iqAZy6/y52pj026Wps7iy+XguU64n3W6yfhVsby919 +EFpzjtKQhEEUgV3HEo0Hwx3Ql/dLRBGejbz6tSAuaB9Qv8+yiHFgOXtjeGerMoRXPPX/xTEkXTbD +5yCnIASVPyzMykwlWGsO+qRQ6a2zFMv+Zt5zXeyBcckxOEeY9LFIhSqzG+Ln3ONQBe5X0xwpMObK +ZZrwc0iPqVBTLvwVtYqKXXluRj2fx6lZ4xd8E7oaGrGtNr8d4bDPWFQRakH7077geq/ra3lTXUbU +xb4OFAtPo81E+TvtB6MQvMcyoTmMk/I66wSkfkqT0tL5mZZxFUBQ7k5Q3uUNDxyNl8TG9IoAO8g8 +F7fldPvxhYINkZ7Y9gFl1ww9NUh4PX9m5WbcOomSPWr/saMOVnpnwOqiRRSx54XyPjqTYsozHtxH +4R02Vz3TdlaeZTjJtavpv/9R5/1zLiJMXDQj4h18sjouZLsPFm5jVJoxwwyHZBrlOuOaAa5Fzny/ +7iSxKypTrrjrXHFxxb8/EkiqAT6ymBKrdSDx/T4woaRJ/Y6sp8mogPgGEnjEqtKkDWMY2DULLLqb +24+unar94h8bs8Q+0CCp889IRrCPkTT2wWdpMVBIRGum3/K5l+OSvpI0C/waEeCaSfhSkfmXmkVw +iqqEtIfxyC9PswjeZTG5a7f0fFhvl/mrQHRbJgVsjaAcOYVxxlvLVZ74VXG7wnkXsVhaTdVwoFCs +jeYhwmQgYO4OvZbmw9wtNaPTksCq5Q9KtAbtPlKkjCs3W2Fv0QMcZZQWHRYjhtDltOvwvQmqhcld +0I3Li/MgOiNFB4TfTLyYZ7axEQyy+EGBWSKRv1KpVIMvZB0JXd7lUUmXjIEfC4Jy7AQlllCCFM6f +/XneKMsW/cdq/5M4anYEX5B9g8+HgRUHg74JnoDVzSAi3VWVhQXIFISTCZ5XX4shVXYJYD8hJGJz +2EyLdFPviYggTu84Y06L+eujzq3oBEQNM08enkMITX+KwarV0xC5muEY9EOmrwN/yma6soHTwpHb +BFE0sV+xJLRpXWVF1fNBWFG3i45yZd2SvK56PkNjTxjghqDVvP8yarJYKSYUzIc+KZH2zHGJX3d/ +QtJTdS6jGGZVoxqGo4eosqCkYLqKzI+G3e19iSeIPiG2Qp8Yw6WlwO86dZTRNw2h0cvEPFHBW9p3 +lgkZoI8QFrvwe0uBEWSxnL0uNPtvu1Sf1bwK3GL30hSkH9Aa7TkSeuHu6eN75hoaL6nTvDHCeXWQ +tXKw0MTeC3sgaiTM43xieEbfscTsB3amUsRPJCEzDeYh4Jn7g3YVCmSI4DF3CKiRSUxLC1IXijWW +RgCQZaxLxjK9eaFB4JiXPn55/dMGk5WRIqX+CKbP2OyBQtLa5KQS2fhUm+Xrlbw9tHH/AqkkEbQP +xD9cd49O9a8eAEOcCoTlQgWOIiXrI2g4QNqDB4JBBw2V/Yw8lfwfYzp91JDIgO4uWVdiSy9EaIgb +LUmJY0rABYtQKFylgWXg3Zpug+SsZaXM7BBl2j12lPuIeYv1lk0Pm7t2mcwQ8avtI4E1aQ7XvqTi +/itNU+D19L1y79rLEXj1F3wrOAbe4sbcXZozPa4HG+bWHegpf6NvXC0DfaGqX86ne1DM8sgkogOD +wapVVSDB0fb9TN1yMMFjD18OuJd0y5vDZa2nssesUMXhiu3uBN/BO1RTQNM1+qo5bJZF5hbSJ6Qz +W+7S2u3lmCzvDk7x3QtYVJFmlnYCcyobxCXk1tNUbsG0VZu3hZ6QqXDmeJ6AKpmBY1FvusCWMrtm +HameoN+IgnhqTLUWYjW5GR2PdYvWhVXgfn51f/zSSRT7P0c/0has5jAQerqa/lQfb+Kebal3aOj5 +e4l96TqLv8JQ4VKCicveLgz6tncfM4KzH3WsiGLMFOKtuhZk9ziguWrH7TqbPwRD6BhsZHeUoAgs +5s2XDrc3PKtY0nPveWYU/YQg67W4sCzRnNoZ6zJOpGY43ZS9F1vvtDduRyerLA5q2gdzjJwUi3c+ +SBXJ18FKFL/mzuICMBuy2UtPlNu7yYnkfCBJtai5wT8Jm0AIOgINmEXaz7syX62mAvri2CULEIRX +aLABahHy/3uQVJCzepPI3fQDPB7VNi7lIvcKFIICh+bCTDQFKCAHVVSLTvtAOsgOyW+iq2kb/MYa +KUBt3YCRNtvNk5PSFQEt7/vu8Ih/4/sCt1hj0QJkq07ZqGRGP1U5Yn1rAWQgdFrhesa5xhCIN5fL +z2E0ndCvw17SXTjmC/lg9S0RvG5xf1DJ4Z5hyeq6tzdbv3Pei3m5vZKZLNsP6kPkKMoRIyWLu4jG +TQnKJYKit2thd2hvysK9hb/AYSrt2oCAMRU0CmwV/NWUwMlBYi6TeKjwin9Lh6ah7caRCCj8Q8Tc +jH0LWT1ar8C1AdnnCmKsHhA0b+Pxsx96u/nZaCttoU5b3limzXFhIBU7C7WGkGdAp4TflitQrjjc +3+oex3fXNWfFtkLOk8rsls6Oqurv/Dby46PKiKZP2q1eYK6pXx7uhYdA9/QWjj97DqBAKWmbcATu +nPOMZMCUfYlfa8GB8TYIC+7mEz956pGpSuVB1M4yQ5Kfe8L9IpDQzy8FKDABv5X8vNrb79KyxCbk +TUpki0CuOBvMUZ0+iTvABC0Y0ne9l6AkLYcZdXYtRju7v5GdxNtPg0C0ektnxK8xD3fnIV+K6ju+ +xC1/94SmvLGNt6l8Jt2g6pt64o6aVS4+wR+uV/OF9aSB4ERq5UI3oc3eJpvvXfQ1eHsWR5wmgGLY +0UfRXhw52qzYIi8M/tDosrnQW42OAd2ps4vk46W7yJti638dtWzmo1mvmkpXC205dHa5e7lu+H9X +wLIYM6SPCOiA+nO2QhmGYIJNSLVy+fLFdCEJer1CnICVK+QzgYpKZSH9Whue6NOB/5TFOF4mORv2 +uSZnCodJz6kk3DSEqRCjjq67zvH+LeP2eBInnjxCbE+l01JLqBHtHFN+xA/4fG9HxmiShPxubmU9 +FmS7cK3zOQdsBucM9UYxCMk6zjw6lIKJCUjqL2kgfPmnO7m9HfAjph5kHwVw1UwwYLiZkLpfkkuZ +2TsZow4LRonf6YYAFKqTlkYmNm1F+m2LiDCVBY4GONfVuKbOH6PmL0DZTqTUwrtNVWbya2ffuz6C +QkurTHrTJAAcbhQMWzVI2vVefAo0fBV4NQ2AV3zr+TBwvhfzALjtWq5vdHtyY+LhTRNLxQZoUb4E +iIOKDXWnQcDGoUT/yqVvTuhso0UAJSaJu6/7U0iFVk5e4v3gjzsJ4m727RrJ7D877znOJmLqFbEy +1V8MTPdy2ZsuK8QG8pO2HtSvGQQmlb7fkcF9JloGoTgQH67I0/M1hzXYRjNNQ/rdvFZ1/34zI6zG +ab5OYjorHQq81DiS2Q/GRmzYyShJnWKuw8xfiSZfVsn9eiMxQY7EAK8MORtKhv3wMKZ/QuZeZcVo +hCwUsV9k907fK5ApITkmmGeTFoJJQSF4DshzLbi2XJA4zyblT5IdOda3HcwiFv6WAPyI/ODb6/IR +mN/1WsB0MkOs17q5cQFN3O+VTIl3xzvR0j0hN+yYJPUkYqsh6eY0oIkwHR+B819egDB09GOAWcE7 +Sr6O9TEso42i1+u6pYY5dBc2CyKYsyQ3a3yKR19a4VWpLulSjy/4CnTRoSOW+VfoLFxAjo6qzWyM +2WJMPdTFGpboo7HXQWvwOS9bMy/xtPTFnbNfDsvjzFXRgWa+NWkm7zu942/aKNi8eSWs+1id4okk +iVkujTiBshRRnT0h4MLY90EE7rOyBjJhB35mWc2jmjctDjdI2/LW4oeiP4Gauxf/eMJefiKFbfAH +aa6IaIExCW/J/rtIiH5tLe/ql8ZIstE0TLXKZyJhcaop+/GGzymH12NSox0zjUE/ylqVdG2jPODn +TKhiB9BneOtfjgM3/eHz8frfqY5OHLT9hhVSEkssWfU/Z5lUHpdqeP9v1Tclu58hmQq1bCZ5K6es +pMedpNUesh3f16oOsO9Iyaj1CWG0w8hHT9IDzHatZfcVXdhWKHLCOFDH8Z2AL3/7rpCyUBqJzp37 +xWIzqwtTH9R8+E7jpXpp/jUbDMjBzkYorpe41ad4sFCkolkGFks5Lt0ihbusiaJ5B1BVMvoDGHXS +2JApkHGalOaM6/72fGMc1BzkknLgd7A+ONY4hPMT14r9uymvCWt+eT6DqDGyoWWTpdVmw5hhDDBR +twzKQUcSubc6mxXQIBgmxC+JAKnaODkpsg41fVSZkKx3whYj/+pYq9BFskDiK9EngB9YB5+C3Kh/ +qtYYaa7xEQY0SfA1YLegGxWyGRwXPG2KFHohbuTsK0g7RFKc4ycc1Qz6d1uNFl4eoU26USyFhFhZ +VApSXoilclBQpXRKT1BTMbf0FvnMwFF7d64OyqR4PTrvuCo3YW0TP7grkszjZUbfmzFOAUELF7Nq +56Tj8OkbfnUJACULLqNjwcSxcxgvFGa7vyQzVLTfg/tBbHtprSsjwUa9gH6CDdZPMmEMvv5JlajB +PYBJMPX+8C54iqiVSRf2mteC/f9i7kLCB8tzzqpsYqly987x9E77EOKJSmuwSM32BNiaS/6D55S5 +XzdTy6kvOSxNMl4tKRRgvofc+uoIDenTbeh4rkNuFeP30SHv5eOyQqYP9ubdG+LGazBHv9vAEZZ6 +9xi2dY8hRvEFeSerVeL3UK00IrE9GWjpbW+UXTxbJRqlAT5NXqreQe0BqI/S6eaj3QRTowhP4xSN +FItQRDzvBgd/mWAkcZLgoNK+wewnvRPkrnd+TyxjMsie9zpbQqhz+ZDsaz1MSVclbqSsE1WrgoVc +Wm3VX5Bcwu4mMXvk0/m1cfOWNF9qE+WCfFfDDN3CjPdc/uoKHqMedQwYPM/BflHNu4KWiG1ayTIq +AaD8xa08E3sVDXoLb/RUiU+eGZ0h+e4KyicVWgSA3yCIwaOwAXCScCg2+KD4uxrsLl26B+VgU4xX +I0pD5TgJiwuPv0XazEC2FqkhfRrcLBcL1JCz9V7ulL1Zz0EN1bUmbvGOYvwR0431Wd2RVp32FYbL +ohammP3brCPTt8Lus10T/zEtj5fihDdu93vkdcJIbmczaALbx+pxSKiEb1vj+sZytnfcaYjZoTml +Xcd/ZqzF6B9F3to28Y8Wg5xZByL94D0+ptDsgvcFVwq/6xLRBfhBcwcEYc5ejR4N2BLG/YJVUfKH +3IXGrJ/RSljyFdzw3Id/xZXowNnpEKJR8neFbb34vEIDKvQ+luZ1yrURarehCq0+u/PUeGyIYigO +V1cMHb2feb4WE5IP2YZwEI/LzhzfnZVV5yedpc7YLsFo8K/J1m4q6Zdq/wASoN39AAWBnHYXTPvT +lcb+OvtFIyInlPRNgSV7YshU9yPxDULrTvix1XF3JQjeVxHhKdBbZE4ugQdjVyNFHL24nuC9f/F/ +YAb6BjU7aIYk5S1XmFhtiiurg/EqM5/V3Mi98++sGUk4MbBC5sQYtAxWEYj6sKABlt0Kf/zEOinE +Wi+Z+Rfl0YJu9e7MvGFgBGbUpKSMsjMflZ9yjVC67/TjQ/YozHH1UmW9nMHT6ebFK8tKsGIErer7 +p9YfgJ3AtE1v+MnT2N8xhO9LonWLWkZinzaJAJADrlGTp19nGPkMhL6w8quixXYqivjYCAVCjszn +6ZnUkgvZ9I+OvfrBe1ajNblWv75+MXw0fdK3uBn62xc8CZyhPoS1wUdhJPVfqakqJh+2+fYj16xk +gF9Xo97z9MF6b6hqnNIgXJUtezsgCC3FbHRcOJQX/LWjNJfQY0Hb/iX8T1LJKMNioWqTbNuVJoQ6 +GXS/fPzOOHm7j2xDA2s3nfXo4hHZzglyXd8sHYPN7hKokv1r3uZs4IWQAONUUGzJNZrcu/nu0z0A +PJyYAElvaOsaUtrLqzhj6cL8VGVrDhW41BavY2AQ+Sef1jIVslAY6q0Frp+R2x8yqNRILRWLhhGG +iPspoXMVGFCPsLWx0eZcbqXrfS1ExzSkwve7gPKA/7PI7xM4NIfc6ymXQ0lSnHu/ejrAaslzroq8 +KO2JbrZ7QMo4JQr2bCsw6kU1d1htjMKjwtXacZkwyLKPZdkgQsXbIi0pZgDwW4KKEMDtdg6jCJn7 +UMYuBHETZunf9PyBzaKNpeOSJSc+FeOPJfDMYbVA/yGhtnOFdSb9+sk7mdwebw5WeZgAPnSJq082 ++L4XPzKUK88v83u8/c56Q5t22JjnllzUwos8IXiByMmOmn9WEmND2n7j7ELduyZnmmi1m5YIdbAC +JnDMHhHAgFsq0Urf6CtiQ8oxTYmZ8SICSnOMHO6HsPU9VclEWeCXYi+0h9PNTe41YwdDe9GLwlxR +jRHf+iEcTG+G2wtfqhV/evui3nB09BfEiUbMmSss9TPq8wfMkhwfi00xzhKJJj/klXLJ7yiAbO3j +GROKq7rrn2zvARblvzFEUoHa3fcAN75t41+VQrvpt127D4OgOgK5mCGxqJv9V1Zwo1jRt3tsMu50 +4KAouzI93hSuwbTyqa1PoRFs1BcRA1CKxUZ0l/GEfUuA5WIT2q1rGooG3eQyu/MrYgj4Y/giJCJL +14iw3kdO57sasZ8T+3tg4O4opbAn/JDXR1cRvawegJLg22I0LdJtG0BTY1ens8dvyVFHatQlQFNW +vbNqKpE1tQKV4EL97FMmCYYTgvvCS20paADfR5dOvdDsXfKbARUpaAqopEzJyROOw4AVnxWGbtUC +BvT7PazCKx3L3SEYIM66/K6+omdASHmDu3jerySYNsc8C0bt7lYp2EPIunibPi8hxPVSyYiYDzas +KTD0dWu6FGwBiujH2mfPqf+gE/n230NCkJSNOPr/naoC7VQF0wW9+gXw7ZBwjjnC5WfJ44T06wcR +9TGQcClQNd2HGaPrHmFyA0jO2cSM0R5J0/7DIMU1DtOGQ8nLReAMPeaU9JRP9YY7H7NFiULIhxQ2 +IcOzyvEr1nynllztDqu7zFINupGn/ZrNA50buKcazqPyag7JdI73kx/aq+xR0H3N9sMHCo1oVlA+ +9x5aiBntuoSFNZRLb1P1ndexOt9itm9XUopR9GfGy0CZyBcz2B/p0nqQyUsRDbxbQqC/aoXxwLr0 +wThfbsWT+kzxwzcJTgeC0bRKrtarq1MDJ9SH0Q5eGsH7pwbXlWryXLUMtM/cCmF77H2ggv9tQFcd +HFqAJEThyfJiV2WhX0fx3QUOwFD7Sge0S6QSd17NGLi5eCavlFibmjcyyrBPqsj2cuRuZvaH5+nL +Kyb4Z2khHDimA8TUDNKg/eMG7OLhZ4nRK90y3/XPcD4B1kj0qJUuT/ygN6WMqOsx3kk6s0u9136P +d346HHtajBlt4AUoNcw1vVhbWpkag1vW6Pp53ARRMQKtq0u+wzwGBPvopy3cFls9I27l+KOrif63 +/7KMNRn/LfP9jSqk7XhMomVBZ0H/N/jrgLhliFpXhZw0YhnH03WM1kB9VAcIo+N3sTJwsAcBh/dL +SOCIyjuWvDX3XSSNKXtY4OUDme5NW9XKMxVr+JNUlw/IcfsQS+tOOLDy60IMieruZ+7EtX+LmPMH +xuNNNBU/md+wuSCJ4pCxWG55hCH6nm5udv6tMsPsu7x1koKJBTF/GOPnM0Y3UqYGt5iWyLjaJ2KF +DwMk2aJOm506+NEj4qXqsKOonshWfhrXsLoFspbSY6QLphLwR4qR2OcT1lrneu1nRpvSo9XvkT5U +cyqro/A4DoCXdAV8gBzHiBgVlXvmOdfvJdjbD5KGDzMtjFCTgpblYfpDJdzZnRqLF5xJNUQ0bdjF +el+T7s2AP5tw2G3SdLT1yv9YBwfeLGn/tF3/qA9jlKy+rem7saherylcW77rq3xEa7sJkiVY7zJx +GoNBUfscsXzZ5fdXt5LLSJOCMkA92TSrHoYixueRjoKe2boH14AH28BPOt58hE1U+HiNyAEXalxR +z7tdFomZuKcAAD+MYJLJRXrdqsLDlP4m1XHy0uRcjY6jl+28QIV8C+3zldJBmGVVOPjtxbXaAONC +XBxEsLYLonW0AqV7UwW0dKt8SfucmJNnhGFxPu3wrbbJx8ASk4bftPeXasGMyWEbDk8TEbls/FC0 +ZQRJ0CXMjKegJJnHD0ylwO5LlAMSzqUwdOTi7nhDIPzDEZr0SuyNz7jOD4m8SwmOdXbn5SJ6edxf +YZGm2HBmQpzi6nmoeJQZYpUSX7M0w3d+k3uHjoYEox7T3cgeY6Pc5NZae1u5QA+GrmpAzCvFOYxS +J6jP99AhQH2FAFIT/iYs1Cfsof8iQHZbIioXJZB2wsUyfqzYloZMycSOdeIs5bsCFKf29ZQKc7g2 +Ls7JRnxxu6T4qnRT3N6pjHlZAqa9oSpcRwpMC1dPMLUN/RQbaUNdknVdbBnT4RNABAh4YNhbrIrh +H3EFvT3qWWb8NBV9BBSD81o2Al6yIB8qZ9otWQ7chP38L0yFY2OGNUz1auOjUPNkkonnw5iDj9nw +2qBBJShyDvbgHxlFWIK2+PL5dKYcXlxvF4jSImxuN4XggXnbPoNXLKFqkPd/asmI4OxGqEo97Ksg +0VcMnqFxh0d6yN9g60sd7qDHD+H89qKi3f9Q6pE2AhEUWk8J7WCD2UA+K7y7R+hr4pIOAG7Mo3M5 +97PdexhNJgJxJ91tIBnJRJmMd96y2RbBB7qFdn7SoV3uL/ooS5A62LVZeDtBw3CTKDm3qh2N/6S6 +DYj9G8N4OCZXUf5n6OvGJ1VG9y2hV/m4FTzF5FWkDixs5XcCRoCiBTi05t8PjbTokqJM6zq+0IsN +1XMxCiA4ZwK+cCONzepMO9LxqYzncppwyuJCsfW9S2PlVlwtXUXdahMqN3yFr50cDcCI/9U5oeMC +qTtDix53Qzqq7TQ6mboyJSiXhLq2ZrRupyNAhDvZcBFu1N+d+OWCZrvnahRXVM0u8fU5VWJcJgF8 +/r3Lmjg5gNosbpBUR5GjQaICOgSSNjCKZydjsjdbqH42OvhdTRyPl8sp4u5VKXDjiJ58OAXZF+jp +XzVU1BnwOyB7zBka9tv1rEx2EWEO0N/Y6JDBYL/oVMNLR7rHU7lnXNklaWe3zdrytKlxwn6dV4Nl +SLd8sfgUhbnxYc5cmEbgvw0Q9OHfXlceHnc+qY0U+uy4JEilx/p+BzN/86ck/6a4NdDyEzF93apu +ldvlBLLY+dqwrZ2ploIVFKD5ktk+T4X7AUOUs6fRxqqARph1ByUimGGGR1DBg3KSPuX5BcaVpSBk +16UCuzU2JTOoPKbQCs/FR98tbl+xGxqg6s7QGT8Wv8WOxu4+aVn36HyOA5DfubM+s4lmwOYtkc3H +cx/ByyeirAyzvS94LwvCZMv9Dx2u2uubsC+FIccWdB2lDNTp1hVvmrfZ4OzYXWRtKUsL6xWOuyBG +mb8Gm2CIK0HZbHlP0/xyZ9jq+bALkNDDAHo1DhkW23MQvhG2XGCVhCPMdJjcRiGEbysIHMH6Tgia +rnnDwca9lCzzXLxT0VmozX1Ga+RdF3Qviab8/6crE3fFJCWTEq8BCtboU0GKKuW8D+A4gTiMJ+hj +jpM//J+NZ2L2d56+NZk4zb1FIdagrkx17iwcTTL8cKti6aCzpE+98o/ZVzmZSUhq2XLFmdKhwf2Z +J/QMOqyM1X4JpfOWkPnh03O3D3P/QcS2p9sOG5yq9V5EltQsFAweWfFvv+3dW3oC/6bsPhL5ViLR +RWgoPntenunCuRIorCUPXzltsauiv32FeK5VgDZHKAiP/25DTOCfYSYvOogL+W8+LyZIj/mQEvJY +8ZaR3U+Zp0pddSNzHeurW5H6AXrMpAwDmHSd77um9jeRIWbxIIx1cRGptxS9QjI9q65dFgRNS5xi +O06sNeSID2OMrBwIUayEd2CR9f8kPxfu/QohAg/aYQkrJoLoDuxY/iDeam8VvA875ZpbTc3YgcCF +7JPyt20lUM3noyQWlZEtD9cKNFk/D7EJoD6M4j1DESauTahUYGChTY6Hwd6o+ZAuSzjggD04LxhT +rqNJ1FjfeTrM50QdeZTK6Z393IYSzUVyIHf48RO+ix+dRayA5XMB4hqHm8pkYyLCYdK0ylrf6Mk+ +IKjZ9gHxzRTAsKyxxtmvaGZ2aI486rR6yRlQq7Xvy5+i6UTSPkeOWcQmdwuEcHha0F0d1Qnm3YCo +7QuHFV6nbgzgm/38nR/JlIVgkvBFusTf2CexDGu+tRFR17viq7kFVrvhnVgyA/xcTOiVGgopqhb4 +sHiB6gqJOyWJCVMiANGPvPL8ebaTFSKz65I2/JEWZk+zb3BxtrJrtOSgfVjJHuk1f/N0skf17Qb8 +xQDyFXwjMebqOIyqzQkWJistT1xJrYgfZ/s+t21IL6h8JuaHoreU4zJUn0nIt3+++fQKxBqFP5i2 +hcJr14vsOAfoyw1R3S3y0NN9yH7JJ/bvzNejnz0tnLG5SBYzxx1qsrNnqGBr2pGFEPSQkXDO6Eyh +qDgd+RNgSe/PqAyPomFMj6RNHt6zTIj7Mo+DhAqim9PZ4YdYn7wPljVIVNVa4UbUysyF9qnTbCDE +x2f16J3yfmoy1VTA6WB70FVWnupZDG5/5ApiA7+ByJUpW3wAOOSl/JV2EzztdJBMZJ6MIhlJWnbV +bat7thk12+lwvvoR4Z7nzyDVvFTNQAvcCiIKVDQmAu/DTTIxMopLaEizR11iuQQUYc5aY2M/3ewB +8xaEjKfS+8xOIdsFNIcxjuR0KYL6e+AmmsRVmjQq5z/eTPvv39NqRFv8vQQTz20++AyyB68Sge5L +seUof8BF4niGelN6laUkHLJnrpu844/MRj0Nt87bwbOtNOdPyP3XxJKFLIxKRCA/kbJTgWhWo1jv +57sjcPD91wV2k0hwNXUDieGtAMMOXPwEBZ2B+MZ6WE/3PiL7puK9dH6+H1RuLjTeLYXtJoeDe0cx +psnCxi7ny2fP2ig60e0zXWJS+6hDxOuWVRQtyQcRHukLtfl7hVGpVzkYvH5H8y8AnyEsiVLAWVOz +qF2zEk3YoIYZu1vDvWd8bsvr6ypsUpPaK3mOs6hEVqJyD8q5FtKYHEaK3bzwnt+yEvjaKALlDK2t +Y0txFPRx+mcNhE+seUgUFDfhYtRCqpYZhQn2Yzk776pnBbfoyGC/4tYqXUIjqN4+0AGCd1br80BV +YgxcbSviU27cVJF6IEL5zoiJTUyRsrmitOnCmFtp9Et1Jt97Av16R63xBm44Mx5i1AXRTn62QxVu +Po+yzds0K7M/ksDzSgYUHa/6xEgIvPD1+wbR/GXxSoP+RJmjDlB+FMbusoUsnfy2wSdA+st1OWqc +RuA2RkHHAj+y5/agDlZf3e9QGCC2XWU5Xd6kSDkJxS1XDFQczzFzBMxpK9mG7+vW79slmTPlL+/M +u3HDuveFB7XeB3UbCJD40eNaT/oDgpMk/dbQUg6b1DFyiqrMvT3g1VU13ehxcgTGCfCn69thFrKi +S4/zi+4gJOQhAloMQrhe+G+STQhXI/OdgON2IsmsozB4yfHTP6Z7Ly65UqkrT13jDWfzzUOadBtD +I66SygPk93lcmq6sMM1TBdvDOSBd5Dy7YuZM6W+nUFakN6bw10UjAzaqSmZKuuUFh+OBxwyFKgWn +9kOzgj+FBK/eESS5Hx9ZmEGmTsEUweBaEgoa5QHqpeQ50SPl4l5xW33Xw5CIAXDEl3ORW1P+cyfN +JYmjFhA09x2j4ZsRLv/5w4zRogRxAueAWnFyUS/pN819s5IFUOb+UbxPwUn7O+yrhWd74fCA335Z +3jHHCkhRIL23UKf6xZP8/wbp8PUvfN/IVOBMNuzUud7CWIPB0EVZnGSuX7pKJdQ86p9nxraKJvBB +0skYFamKDeqN5s9NEYtieM5hG24B+GA3+SO9k30ht0VdC05/HANbC1NAJFzb9E8toVXj9pasKCBz +Glq5CDtvCnJRrai0/CreMD9xA6Gw3SHGbHEN+YAfAuU40c0guI4VLsd9Zx6qqyKPILNtfz9V1178 +Xh4LLbgrg/A9x5MRi8sVyY2/XZKfpF/3BQTGDVOeV6ODTE7lvgAfKAb8w3YF0zuwgX05F2C5J55a +FF36/BA4U2YPB5tpWRknh8VZDQD4dhFgEo73yWerzIGm54Z/lmjfATb783/tt1abO5sLMsPIFyM2 +CKFvohuSWyCoeCyi82plPvYEwZjePM+eGXRlXLSZx/kWqqLV0UdR1VpF6EI8swkhejkDqDNkwB6+ +fZAU6smC/sUjCb0ComX8CECXxpEmVyIIs0i6fX1LJzRgT6/JjzY5034M/PNVvB0FEIa74eqK5mWQ +JcwhtH3raYK9U7KSWgUeQ/ss8xH7/YIWstgCBq8lFOP5WmbWZcPKoH7OeZ/aPZGvlGKB4EZ+FFfQ +o5KVH8okK6E7NyLToyeRTvP6wNJU7S3+uOvCUW1JwZFRvyienyS8PUh+Br6/LM3bE2aOn7f33d2e +QVtKUF3SFWCfj7XiW0Ikvilm6EMbneIP9lFKd3v7YSciPdLsLvR84KGo8SNAG59q33rpK9rXUzva +f5vLcKmXoSL34pzHV4+KPPtLX6yzPjtPHJZuM44amHN4Jgccd8AbXu9lom75v4PdklfVmh/Vuu03 +25I4t9vkVeRr60eULo6ppSFr2GpCDqJgidmhMIqRzeLoa5r9FFXDT+dqf1+wSl5K/FIdI1MhzOHT +jqF/0qwdOJjzBdxBe2CszqvkdSQVZ7pCQZL0yopphnPoZ68xf1sFSe2/x3o4FIqvAmgCd6sqvinZ +os4PX8pmDhZlJudq4CpGwo5dJAcsOvYOCkRpcncIeHuqaikBqzCwDLdiL1aU04OjeHS2sza2cPt1 +mjfYfRd1paQUhS7jDy8xX9oSR4SM1rl0E2EOD+jgGoRICsbrNrIhVImS2HLqJJxWQzPOWOG4SU9J +o3D/Vl6Zi9nr1bGK0y95l4624ZV7Q1n/P3+g1m1jZiw8ME8DXbPegBJNLRRT0r2HY/2mobfc+Anh +nwX5+0PKKrIA5kh8fP7755DfudVzfvDiGqJGxpkQJjKScWXl6TyPWWuVq7/xHwNij3mdHHAGimYR +LQQ1m5U/prtbtnfTg9yvDbRYlV5D6lwAE7E53fRIpOqaboSCL5kSg1PpmWXzvWM0ZkUVn8weJjrT +wPzELBDnAGD7BW6/4fgCUUO7/jTwp0MnEaylVFqJFPZeFKaMJaeqCwpqPEcLQYjdKq1e6LJBNlZv +VxxsOZGzaXv6KTvUbzWNEfc18PoHNchL7rCcZZndl6hQHRkwTVtMTOy7EoTjd9sz3e+0zKs26Zta +2/IQSkMu1R/efee9n/DaZL0cH6c7N9ltHf0zNCmKS2IY/SStDrpPhS6xNuqPl/bmlpidx+xVoo+5 +1YZVfoPzlcQ8vh7L6zl5FqQie8+2gIuw+l8bGEXasYU2OlVQtY4YKXU68fg07BH2G32s3CDnfoij +rExWQEuh6U/VR8T6h1NUGAvl+HttRYS24Je9phn52OIVEo+H84/jSe7JdD2QzK8kEUyKqb3SCeag +RSlE47g8JSQgRe6LZcmS3r9750k2NHc+8Tdz4RND0FhNYZLqS5Y99ZHlL5+I9N9CqjGtkUDVLVb0 +uGL9fEIe1joYrLvpORAxbNwbR2FXz51BnQLqoVAzfZa7/8gp03eMIfCkmTz+bCicnkxx//d2Gu2I +os2PeK68veGKn4mZktrQjDLyYddBYfFvedAK+cI2sMZ4ay2NjNPbY1BFyQgJgZdIgUAPHQCaTb1Y +OJQmVK/Ldapna9bKqUy0+4WqwDwv6mQzghQBLltdkly0V2MIHyOwGpqwMLn2X/9AQkbxINznh6Ns +PSlhA2uq19iTA+eZtqLsipVQudLt5WZ4SAxVxefjPePz7n8NeUkKNTbiXqG4XHyb6CmentJXmg6U ++J5g3XnTABY+yykXx3dcmG+aPonNmR5Nd8FJqpjQ/P2XXv/OSCM80nr6pqYgpFgGFo8ahl/Fivdb +jd+sZl314ZYMT1+uqAWPLRaLiWnQJICEmGdtDiTx3UCmMuSO+O23fPog+WYSQaTKLtpinvjARHLm +JgcgBWQ7kacKvNudZeQsELXDALzPb7xnGLu79mcLvFJEl5iLCcdPrNdYS4KJL9zEnJ5So/RrLoKs +uXPdOmX74CiHNCMwaHklTwOlkADqiYWsTR84QdPNxgQaRc8p5gO6Fx/PSFkBkk796cp7tuewFLwP +BlfEsRq/PJhBM+ayyLJMPQLOClI+LwlfJrn7q8trQoN3co7D9fqO+3bi8ewVXSvFM+jTVsM20BgB +fKpBdv4Bmo8RIRVr1uRTMQiUkvJs5AFD5SEbEDT4n/0ro2xvRyNMla0l8RAaJBdEMKRI3QQyZQ5x +LXGv90WKzsII8XZrbSogOomFEbP8tK+zNPf48TvVfMqbFvgct0IFIkucbD49GQuel/MP1ePEx6PU +35Hn6P3Zg8729M4cyk8SREP3DM5RsOWpZtT1o4VvFV9PmaUYrT+OSO6FkQf7hPLLIrAyidaUbFye +BwLR4RcycjYELU8BOnG4eJWShwoc62HX1EQ+LNZuANbyhsQyyxNRWmrURUqGEdlpbo3nNdS832We +n9FejIKWpa86/Tx13YQUjroAH8dGJ13mQS6s5fRK9S/LMFZmPlOjE/9T7ma5cIDuYvnsomJwykva +5MP6NAtHq9kE0QXWfa5YCredeuauza11tYYbuYPCmt/vMd5tjGwFErjE6oQj38TYEBooR6QxHjYo +STuTGiI8HtMfUhjV36SdB7iM2N5BS7myI8IpfIYG/FoQFBL0aciMBnmILHd2J596dxnb/zG8DfQy +ux6Jk/unbSyYrRSn08I/GEUoBSHzYkfCmb7fwEAOWvt+w8jybPoPDelqkwKhYHbEh7fuciCMMcyN +7q6+2DkxdNxOIrA93FhrFynf46Hb8aBP/oEcMNOhdGFvMNgg3h+N65tVPcDNIgf0hNaM8qkp8otN +OagLxxGAuRHd6bc+HBfYFYndENG5YgHMfWKnHHTt8xAu3k+Wd7xAc59mMvqpyuam52YYo+njXyZf +TTLG/gw5P3qboqdn+5Yvb+avhPa322cfPLcp4cUM3pPWohHjvI+th5wgEsRW1ZYAT6faceg7zdKG +8Zyr3BapHyToT9jtGIqeY9fz+zguR6DJZXsBIrA6Mxg+YPCvrKSJpyq5vLQIBfAr4FPTfrhnx/1i +DfDll5aOuwFlZAF4aYuU5DRRWP0kEzIv1ph3CUgDPiZMAk5D17kR8g/xANiBYENT4en+QrlKEiym +pDbarfXcPrhJLrB2VundmRnR2kRgIXgv1LGdJHuQ3MZePtsXaywUN9CXMUahLNJSAqKsOMWxKyzI +3qmAO05FRUnEbeIVXrD2yw9YEaGCWWxeT/KiA2GONuf9pA0w15qVg0YuTfeiboCOzDbmd7URtzk0 +URE4jcUVBX3T8q5AX5HZI/JGIp8hNwyZNjPxLNO1+yf+CgSN79iUfVE211PAu+GqIip1fPd8So09 +FyUKZzV9dcmUqGyl+CjX3onPoOpAI4c3ZcJsHR2yiRPUmxP5qaoCus4Rt+tSNgy1FLPcgfMOTJog +TrH5ijVFw03LsaRWrULp7d/xfCkysmz2Wk1m/S2OuqnynuLB8G3guEEMqH1pcZW5uxPNpLQsEM7Z +lGjCkxF3km8t6R9EddcBfUswBCjyf95KnAJAG7ubqqvBW+U6z2//QVPOiMj3LCqe+noV5qnaS15M +dCVhTTfJaQdNNh8rNgOru24B1U1XVUoFSWx0Exrw1gjsUvwhH7HQrHoviY/DJyl+g6ZypGTvH1Wy +z9+dDiu9hG2BiSOodUFq2JiJEZ5vNUtTvDilfuK8LaffZzN4UBHm7wti+87zNAj3DW8a81aCCKs8 +0hIGyhu4u6ev5DTMW3bhA1vhThhL86Q3uzFscMCuFlPyQpMhnH0VzfrgyMeYJRQDrhBdcwyxa1mi +QW71bane+CNyFmc5S7uDmM9XM1sw1AacUuBOX0KPNUILG5dc7t4BNYeGdt6Is4ZLg/pcI7XPUhhC +S5aas2uYL1Ztd1w1vnyZ7YIwt8OUucWa8hYcFD8y9UQEy5jmeRh7S/VWLpDJVjwvpYQX895Hgp83 +XH81kSz9q7YaU+wFu6rlG4LP7C4jnfxuialm8kpbldM8yQ+DI/KkX4vggY6i9HS4IyUySao0JpOT +3g3pQiip83renrpcA8WfDfDbyj3UDHTu8FPtJ2VOUEPyNQpJBmtxPywThA95iEvFsD46kIr5ShcC +QONy7T0gSGU6JxQZfMUwGFcy896J3kOJm14ZA4WG8vpYnHc7YDnkMVMrg1ID/fOXrjQRc+Hyu/Yi +i91yaZd+J4YtkVNO+LK2AgQGD4QuCd25uyQ32oZxxcS3zh1otm+AL4RknU51nakYTFZk58U4m8xd +HZu3H2HCGhwMCJVaMLLDgfLruQflO48z+SZZEAzKS3Tg572Y7Drrl2bwji5+rLZW7rNx+3T7CbYg +EQU5XLxGJqS74dRIev+uX0N3PWrvZT8w28UQYx95N+aV9YXRVYu+xaXTxdIMErV/ryvrAVMfjx3i +sUdbr6iBkZlcyqReXhhMz9mN23kC4gLURYy0xmhpzg/pmcV0uHtslMSqpvvfcZR9SPWIZfW7uhLK +DPA58tsYgp0WZUB6LgoaThAKG57RhirLsr9ddpLzHPPBTzkjr1lA09To1F1ZjPICfamXwiz31579 +WUEY1QG+l8d5D2Hg8sHDYybWGARSVCI02ojrKHSn7YQQtx0kQY74gZRHd3qvUdlzWsx9+QjcUHzY +Od5ejTalXOWGBoHNsWtc1q9oxk6tIncqQ9/BIAmgK0n5iP9jIDmBB+QJWpDQuq2/zd3+ArFWWFLB +zZWAFLv/KC1Ka8ChIyASBfy4MzYc/QA8Uzm05iIUTfWjyeT1EDbrWNRPvKUp5EUI5hWDOk9FVwZ2 ++kHtenziuxWAxLxp+u6ymX5G63FxfYP7FASPqqPOMQXCgLuEeflSpO8J0O4P7GayK804TMzZuH2Q +B2P57/XFFl527pKbFgAHpN3a55Dkvu7O37YTge5RheXI3Ey0vxQVI2yeGUtZlTstfn62uU0qiikg +Sx1hNY11QJV8q8aZixJi7Ce/5aLvQE0g1tLOWRIXJaDtNvbdg4+PsdYIEDeJQQW1/EnnaGUmKRWC +0YW+POGA7EATOBgAhRrdoCU9ejFCj8c9carJ6Rkvdn+fAL5VuBLiKjEjTx39QpidOSH60wGW4oIr +r7ehM+BcpA9LKbuwGW7wx0/PaEEXuLJ6qGkujiYVAmI2QXgu6lHHZljM7IvAR1yYPFS2alNN1hTB +rQkfiq0fyhtgQPvCqb8dIFSfuqJ1hBWBBNl4eleQLBePZleu+2ZdNDyDZlnaNzdumkjvi2n3SuVX +1qIbAV7Pu7JEYw7t6jCTMj+RuM4OtISCebyywktpQ70wibWN4BIFG9jxpkYhpVhGnajlx8ICq8dd +lat/r8khx/AoQCBM+HaibmbRAAjpgGSzHtn7BAJC28eEEXcaeg4OdIVmsHDV2dopNtpDBQ6W7PrC +K3c/Xiys5C9uHZZ2KFsTmQxM00OLWCcogkha+qd0a2GlhVp1Ftugom5GLCtO9E2HMEWxdRJr8uyT +1VIw1wQRSB+sgsL7tIvuYppXmRRa/0tal9kpHD7dzZPjCVT8ERmWWdR59ruHyIhuzoVg7OkdwedR +4dKFwEuVqb70mvipv3barar6THZAEr7wce8Nd8S84Cd7+JPdvYxuAapRc2PGz2TKcgk60ecdxI66 +JXCHMhkyDMjeOZQ7sUmaXQYj/ZG+TfBn31hXL7DemfcW8PVTb10UGu/D/WOl0L3xBf+80HACsjyr +p9IA/30GOjya2e5NtoIgY45yYp+SSrg4uU2ReHJrGewT0/RtaxBRH68/Msfb56c/sLSeeMfI+eRZ +HaPsDgKF8tfE0l6INq3+M7v2Bu8DyWSJUwm78pCbX+H1CGMAT/bxXnNd/AML1JQ9at2C/7ouFAmn +cZKxklJ/KeiUq1VfoQHCm6lQ9VPNSjJ25wV2nkbtkYokINs/aGMt+GqfYJF6DXQw3gwYk4rY6HUe +nFx2kEbRrSJvWqeUl6anaEIGZSXthDUDbRH53+k/Mt2kFILsWYA93qlsBaCtcF7QKoqwJFD4B7EY +E/rRqL5/KbMvKsIymSnG+ThwPUSC8ZddaOQzIzTkUqU+wvgF1609Cd8+276eKCBCSAAxO8d8i0AH +K/Z3GYeuM/9YnOXMgC8BzNqnYJCJSxWEkNgIGXWTyejxgqNjWIuP2FDearlkf0uPFUjngma0b9jM +2ZXIwMnmF4uaHWV0B3o53gF6wHlc7pKFMF7FisaupCStIA0n/QdcioQuN7bzTj0SdgIo7KmaA/GD +NK/cq+Uh0xXxRl3cR9R01XarCa3der0ByHKISLKHE6cDGunhpkSZiBmH8RpwVqDDHbWgAkq+epRT +MnKIYcnEv2626rj7Uxt7h5w3hcVx5he3jbR+XkMWXXqJYTYme6rm6dx8wWXsK7AXhDPTQPDTM/4K +VWrH+bdTUG75Vocv5SdT4GL5Q3dN1atDx2R1JCtE7Gqw3IVgrsnh90t2luFHN/ixl9n6CyP3NdOm +CZaVx5HHIV9ufmDWtfwXgzb+vXzlOAgP3D1WMhxUb5axrYO9w06IodCfsN7rPNAgt8rO3fd1lqJG +33xFVzJyagLB/PYymDF+TROnhnSAoHs+TzIfKJtl7H0oaOnFBOLaNTJrXEq3xGjbJGPt7mgd2K80 +MnTJOkwL5TrecWWb6m6IpWS9v0JTRFRELfkxh7Ykd8AjXHeP2BQRHo4F8CCMx+xIYklCvAyjn872 +l1kxpyjs8i7Hy4uefodxVO6L4BMIVLwh38mtWLko9XPqzp86HgB4/fBQyjCwZn3w3F/vMdbhHVag +fx1SEVs8JGJKdVMzuLiujcVQt8wVw2mgIhxHXwEowsPhndLBBjZBuf77eKu2lE1p4JJT1gXR0lZZ +X3BmI2Ul9V/PTvNuzJu+wSMr2t6MYCa38dsNUvVV7FuktwIGOOjVbxg2EWchVmRnnzlF60kjOaW0 +ntuyNodUwu3Ye32FTDNCVaiuy5cLYlq/YFV+Tqhwut+7rGw+4nngEz0ZhyX7DE5+jCHJZnHAnk1K +sY+Sd/T3cZ6LNnzvGGwPJ7BT02WdKdukZF3G1DxmfurfU2Bgfq6Q1a/Hw4zltrwrn/SyEhUd23O3 +pV3agtauRZd54MK34DAq574zNSKHH91ftoxtcMSbhMcKwidYBc+yq2ifF9M+Powyc8ICDjY4y7k7 +iUQ1nQPybrOyE+p7qyCtiarvXMmFoyQ3dI5D1SP3qbrIUmHkzdGCsYRrfcEqTHIWgWzoXmbLjZ7v +YxHPuysAcvKoidoS5Tuo/ePco875Fw65CtLWFDOLp8QDJIplKsJmFsQBe5yzrWJwGIf0wgo6sFTd +3ZPTuAgQykETnFtEGmALhJQACpYR1uVmhEcrlrAHip4kIcSBvQ9ZoQ8wWB6UiBIzaBmZpsrxb1uK +Uzrjmnrk5+nF/Qumc5f3IZmSu5MDVOZT9PPPi/2kuOB9vdBuwKAVkwsHylpN2Hf2lpr0uOfMSVD2 +T6Kuw/hgVl3oBoIyb4a9m+U+F0iqNdFcAQ0+ngIvCK1lcqI/PMV2fEHbsx+F/oO0wGqp4mVbhJ00 +qwtmnOITS95+K/9FFl7Q0XvYv/w2A0N+LAcGbuGqmd/YdiUWjguHRzXrIIsejNjoMe49AbesoO9E +PcTS92D2tJ6SOmVK6MzjXHEw2zwL5wjbvZXR61HYS//3Lk0ANfHW75J5vziplKeg7dPA45aOkxCM +sBWaq7au036O+wMKpmGKFvJfQDNikXQYepUKo9UsBL6pqNgteLyiIiJI8YfR8DivLvjSdCg08zlp +itbjSb/shsnfgagl+NbNHEBIb9cPDRX9aVj2kF0+1jeifGs7CdyCpVMQ4MEDECKcyykjAQWRdz23 +nA6OEezTz2bRLtZdIxWeJ33RC6e8r+KKqypczIA6rcj3ET0olkW9OxmdeDDn4OFsNRpjioVkweCB +vHK0AyG2kTDRUK0m8YS6O9goNBmTyeQ46TYivwii2ZJL9yDEEO1URaMxow3m62djrCrY0VPClYGN +Zvw/yUttWkOvijG61WE/e9Ztu+BkTElAXj2t3l58VwGXKldmBkg4xPGu5BSusZGnHB/7/6Ujxu+t +443lKVPtEdaHRFh00qEt9BzMi2Yff3kN8SW6MTFaS/ECZQ5Tvelexn4ECd0/hZ9yhp7g6dfgOTDQ +ANbhel3ywRnkeuyon8HbTHZUMEI5rNsqzIsLncahPPI/D4L9y74IY5KPkR8VKFxsXFX95oQMnBh4 +C2HWZ4xIprhRbyAKx/JNDcrhxyQxVaVeyCJRRfqUg2vJTW3tdDYYI0X5X8rl9P6ch3PrZ9bZnG7h +xsjIPAgw3boH15Mh6c/04SzMUMH4ZLtjKZfU4dyqrVu6YJqnEcjN1Wu9MXymlkD8nbnJWAVlBJ/i +DP40fmL23rdaPOJxQQWNmC1sp5Bo3HO7QKnHXk18rLBF6hbufhSDKBOss+EiZguhcY2Aysqx5SfN +PWOSllgrvJNDiWS1lWzOWaARUsOh6S/KsifjG+weqwjJmA9umRNDybJToWFL1ZgyOS/GM7Lrr/BA +8pRHq5aszmtp26Yjh97CCEhCWbUGU620o1nbfAip/7z1WqW+ZetvSCoQWPlktHh430RVUXRoEEkz +XrjS+ehzRAgtq3K5/f/sBHjZKMuwdey5b/SEzpOoMPXexIm5Qz6FRyGSvUaq+RC9n3L2p1V1kjWc +GLLvP1gYOZqX2iYX3Xb2vNqT6P0lI0iaxdiatVUaFh4wP72zWcUQu/Jz0ORHCRwOd8l23HP6OnCd +6fjnaHSFkvQuPtASEaK7OVOZiK/WzDhKiPWuJKk+9u6S0a1JvBrP3mG5h0O9CTo/jUMpFo8eyXh1 +/fWORvhXrEeMdMnf1fKfE4QvBB6WrI8nVlUbYwt1XCnoNDynVUR2cYujE6EU3I+YzgUIXFGwmNJt +ybZXPrsmAlSDC+ZIQg/kyAUD2MqT1RDn557zVzZ/HajpwMqnPxUW2V00laq+OJwVXGScU9/yc9A6 +M3iuMcEixuNt0WoHkOw4Zb5L069yiPrAcuN7u3emY3NZIsmtBcCuxtEfsYc/iDlhIwawDUzyMuG4 +wACUMKrtVcZxz90LYYN9OMfLWbRgZrAy3qFk6oNN0NsdtZbFtuFRaUM4UTrwT/cAjLkB1m97txKp +cl8x1zPWASNvp47D93qgU+knelEYplBx92AKXSxBkgIRc/i4hAdlpUohRg6lkqBn2Elej34r3QJt +hZLAO4fWNWPpOJ1/95261oVMQ+vV8AxwrNHpuPkdxvPugkNHzGGqil7Pa+x9mWxISNHdRkotruV6 +ZiXlzVlAxtkbKtw9FWQrsm9J1DjCClcWufrxXFcdTVEemIm9p/LbsV27O9azKQae0V8zdXEkG3rk +Ofoq1qnZoPxce3LuFMYhr3UDBKDaR968w4fxvE0Qv7KG+9COW0iuo6nWOUjIEbq41LFgNC5BpsZv +XYblUbnCo5UJCAUblrvFXx/vPzI1nGQP8WDg1/+Cvh/iIgEhvoC8fXlkIp4VJyG9m/J0fqh58Cv2 +z6B1qjljIq4FuZ8G6npzbB9gkNsWqpxsFsie6yluaZqRdpRzVq2MXg48IkDm/4ywKn1E8Fri8vkV +EIaT0M+H1vSOhSCdLlLVRtifzggUkeNX2MV2aZCponhzP3o3oNI5ObtF4r/VQos1LwJ87svGINyh +euHEJ9A0fTN5G5/KgbYAWpnQSx+4+vlSQSqXPO5HgKGHpBvc+GQ898WuTcUCqdVFsqnfJm5vuqmb +HW7NmAy2pmxnpPPXt8xU0ENzdBXFMkC6i6xdN4jxovVh5gCRKxPkpzFyRYyCyrqt0pmUwUX3Xdn1 +measq7EHRmM+3ivwR4fCnOkkMcKFxU/iQ61ImneX9hindkuX07vUaGtFTEFrs8hWudeDccs031Ac +SFN6JkH3fgLI+pDAnQSqX035u3FHdo/JpDGRVMfZQqBgR/CWsBCpQiIRI5z29kfMEeQuqESEvLu9 +bV+0ag6hwdTM5TagnkobsaCU3QDZ0rr5RoquAeBTY74CSxIEPXeIURlAPrhM1XgHHPKcgP+hravk +vqq0C8b6MPTUEbqIuvZc2iz8B/vuu6EKLAsGiM6gjaBWZidu+Jla4bUStFZQx/osDNphxTIgiEwM +hZwnSNnifq5OGuuH1EfbLiBCoDzt0MhbARvva0hx+t5cHhXD9+I4JQDoirfmdki3p0rZY9TXMDVq +P0O2WhpyhC/Np4oAuCbxSDKdE9ifAzOefdYx9KAy8I7GcRmKQ/X5FyO/FY0pafKdMHYZzCoQhiIy +yYVHYoGTpIi6s9BL0lBvm35hAWWgZBhwZGMj2X31WtZTko5UU8hGI1Vn01zT7b6mGOQgIsQDD23w +3Fxdd9mF7S3YKsMq5H+yhiRsL6A11EOrLQDFPeQlUV4LKO6w+6KcdbAUNtigu99uAF/4fLKwshuq +nnfzNOCOCCA5yfjQFEczlBVrosCzKBhLd0X4nq8EG8bEVVldo7ZT1wOt90NQtzlTaZTlL6glCWFL +ak4lW+mX+5wv7NAwD5QV9U95OCYtQef2wUFiPGAaRp71Alqd7POgm14cPzeUq0o0yOx7W2TiKxbU +RE+c0qG+mnpaAWgMQZVCysOStFu9nWXuOYJgDhll6cxewczslCF4+ZPp1H1vvto79XzVKuL+6tLq +r0Z5XD8hq17giyWbOEX7YvuZUKetlOGquQkyXhc9bsbh9G2WxK6qm6OjT3EwIHMRyCKE3YtNCGLq +wx+V5LxWrM91O+VHqHc+HlkT9BcN/DeuhdEyoQUuJaM/sfUZED2P7IoqMUIC+0QnZwjcL5U+93sv +pBHDnF17l0klhoDHf2p34dzoqRg6dNSOS25gHh3K++45o3/MYPKFca4Zrqd3NoPB4915gKdE/Lpc +FyPw0+FS8m8Eh9SMPRXGpRybJlOs0qZaMTF2BIl0gAo+yoQh084R6FmeJ97OJosvlAhL6isQhfnk +/PIm4IDV3wNLguI2Rsi15VrajHzJHwzi7VZ/JBjygpAQ+TGy7w5120AukDloWNpjfCT8yUkbQ5m7 +tSDIXxlq68EW8v9TbMGZqPAdZJ32Q6c/GBZeaPbeDOYnvFC+v2bkk7QVmf++8A18cD+gvwFJCVKP +bQYassSdeCI/HCgE2/6n2gTyO8sVzkD13aKDCazYWHzdTFN6PZ7tRilmNXd4sQ3plleeewNkO+Nh +Te8L0WCx2wIT6dTT9nX+skBqDaLq9iqs/SIDPH6sxiiJAoaPsrtUYbjAczuDAEEMcGK48fht+X0Q +za0V05LsaBWYoQkQ3cC/vyrYU8kn6g3hl14SpHhgSGRUHUW8l9s+YwMACgN1Y0baP+mkbDiC5PjU +VNOWqDcPb73qjYLjwCJz82JqYReahCxeyC2ElEaYV/844iJDDmen7F6fYb3bh073MeKjcY/wFW5a +GwQk96hQc/TAgxJHVqwPEmTmqIdvudnDY+vZqxRHM2dXFQ9uhI5a5fG2j1et9EhCp2UYNhfV6GZ2 +55jvLuzJFG9J+3qs6cEVqojQOKH7e4n1BDsj1lzzDy2bwTn6kfa16KHcGeLoVFkPXRH6MzJP9A5s +i7m2nl84yW/ELhoBCvp09FqpUuacgKTdiNvdckj5ynhdlm0haNWtdVh9E+nFeKpVi7Q9XjNl8QDa +MY+CqHZrBPLpRV2YN93NpPIzhwCUNMVWWmZ1jlyg5PFIbcYNQrwGUOSeYAfDLLnzkH+fDhlpRQAO +pZ0ZHcGlIKn9FdiX3ybrLia95j3plrNeo3xV7GOE55zzYb/8AH+yd0cu5oHpwi2yKsIUK6NZ9oYc +AabFqu2Np/9JrfUt6igqSUvNKRLYH6XEamUY8pTc4bCdmyhvv68ZVsniAVuMWE+fFvLBP8Ks8MKb +V+QTvVnQBK7tloqxNYCDU6dVhb9s+avFB99F8JWvu+WCG5/e25+8PJhryJ1W682u5p0n01+kH1xr +R6wxRpGXBEcyzuhKeDjMxfRUvVSqJtBQoputWEdDXKOzBP3eTdi1sAh34w3RpK/ZeXKXYwdk7RFl +fCmOwVy4HRnXcbLGHX86ODu35/p5HCruogxopw+2Mlg89OR5HbUEUgNL5c6S+znWBR4cGnaWiDAa +APotC8s9sPzHN3twfT6SPokup+VAzUA74jmOt7xQFZvxoY2qJs/L8IeOQfAyM1X/Cs3NHaFB8oJp +DTGiKL0pLNOL01F0vBr40IQyRoFfeIatARgidQnu7fkMHEO7aa6gnCK3eJhNyiKzwLrxUnvFjVKi +POEraQt6yW5iZyxiPI6LF8wqGMlo4687acO0gpnolebclRfWWaf7D/XqSmFNO+cHCBbqVVBbSI9c +X0cIikgJtmT7a2c+ZDxjvHlsScteqMRBvtLHYUtyEYuWDTY7ANCE9Xs2hEAwRh7O6o1R8q2xamgF +/ihaTO5X06JJdgFhmBTkrNR9cg5gpQTGonY+hb0oZv6FM4sltMrzmKKn1Kiekh0CHrDGdrrZ6s2U +kojnQfBx0CFKT8/waMafCMWnNiVRS/O19UBz5auri54+WCgM8GoacvwX6GSALNdKeMIGJFZ5QKgM +OZsGRUAO+N4N4M6txhPby4//gXKZagCPMQB0jVmee89+P9MJ08Zmz7UnYqrAAJsKEu40zIXNaFSN +KKHOQprmvh23Rwoc4GGviSak+65qMamD3Lg1BiO/9QGFCSAZOjonkEnXxk9QYki5ZAukFHMleiqi +1g720eRUjnUWzZ0JtCsGDaxgneREBCu13laKIxURBUv1WdtfmwaSgahjbQO3gdK6AXW+8k28L5gw +wBEuFqSKTs1/yALkMKZDXWBKIOcos52JAJZ95apbaKSlTiD/swwqajrV26qEkVbSwSKlzqtEjXm4 +3vq6vXw6CHa5vUPyZyDG6qKZo3o2zAOo6qUNzcIK7109Uc9ugtJ9oNfr+MRE/7zSHZjlPi9JHce9 +oVrBGLjnnXVgE9fcMvzQ+rCvM6zXBbXQGSbFKTkf2exxe7REoiawhx7WScZ6q+lSO/lOAgR+voRp +5ESpv9hyk65wO66jUVtJVZMn08Hm0TWaaUfdvwGj9b9pvEfqjMJK2QpgsxdWr2bG6iu3p7FD+PaQ +jSw+7Vhv8dA8mbkYs1jN8R3pzwD2nuUPvaqiMZ309S9vIll9Ie2brFXrtZJfeVMbWQdOM3qhmhP6 +kaZazfh1kSAMxT8l82mLZD+ntJTQSyU43EIu9DyuPOqlLEKKOc2WinVymGZqBvRJKYQepD17TB5t +sum3c/Js8Zime0h+TXteCNaBZLtQ+W2tSOGnl+spgltFeQDG5Ju4j7CVmafaNFXg7WvtZbldOUL1 ++tfPGSBrGNyC7F7Y4JuoqFhgIzqWiBkMugJRrWvCzFVj/T3uDPFwH9ZMw+xwLs4G4a7QKBHcbZex +9gvGEXKpinFELb4mVRszq/j6dWMfC6B5VmBO1+6TRxGu2vCbUSGsGIMTpjCMSFi1RgPgv7c+rS9r +EDKxZq+nmmMrKRRpHkeZHD1p08kCr5C29nV5BSBxLHUyjajgsr7Y/0941I7FLd59SNLpFuuMx9lj +WYZDTEwGMTg7bseIM5ReHWw7NHIg2K5zW+Esvds6ViBNr664e+rfMkjvPwASL2aeN2ZvrtJ4iPL1 +f/V8detPdjf5SE8EYyBEG1OVE9f3qGvTK3OXrDsN4cvSojKfwIu8Upcdd3f3WlgidWwuzJZeiGTf +nwrM9UrkpaXlJCCftAEv2U82h9DzB0xrPFUn1s1rYRgCu3OxONbkcZp43etAvMv8lMpoyMu+5GJj +sbln+sBB5i/f0XG5cjJqG6I5yUsZdC61aVq8WECa9gX5rLHUTwyVWeSG9w3Gxw8tEankKbLXznDv +faEJ3WZSBPxnwIDRrNUCI8uVzTbLAuVpWls8tx9qDxbwZEjcM6V60zQfO6CYPw/QWsUCb2kBykKp +dBDT17llEEojSCQJED65864apFj4Gh+cI3toS7R8n8tdgsCGIN5jx8PfUg7VWlgPlhSB7EDPC5DH +eBbR0QJUzx+K+i3z0j5iR/CBzPHeecBv15fTmgRA1Y3wRitXLmyO1VP2dEkerq6/sBCtGl5GgM+A +DpTKF59/wbbbQVsNrNb6+AuXOytHbUWlpkmWREHYq8H0K8iEySb3dgrAkc9bydM2Nfg2nNUqldZg +DLbdSAqRAPDUlYtelcuNdO3yZuTShTiXpoQjf8S5zGzjGNbKIXD+bwv9LRH2HFCqU4GX7O9rcIXS +lR2fF7FReCnerBlev+PAtidt6e4sF1/KrKklGDjoZcHbnCubv0x0uaQ+6HoZdea1JwI1gEurz93j +vpef49Lx87mp2xUakuZHyrhvmINm24+b0mIh/LwUib1Z6RGDATi2ukOsolC3BBZuaImNclMae9Qv +qT7g4zmEkmJ1mb0huHbp7DukTPGtpsQnhTXXuMMYWkOUBgG4S54hI+aIoRdP/JzlWj7Hi548e/cI +eBi4FqJLnbAf6HJO2AsivztrOjF///vWz9RC4DQDnejw6A2BoKmkKVjejiDM9nNmcg8Jcn3BQqbE +BSmt8nOaWkX5hOI5Q1IiAGPwlVy78r80HnrnNa0522Lqv6BYyZM5VAlJ0YjhaCxpk8uQwrvwg6t3 +KhsuGZizysOKkNJfuQEchr6NoWgWUbMkOBwtao3qORIDZgowrROVNOeiHhOgDlor2f5ODvRha5nG ++ccEivkKQH2IPz4A1DQD4WO6Exd1o5FKb4ZmQQCzFoj7Fapst9XImZPDJzdICiqcbHKbpFNhH1+e +VeNYbY4LZPMbWyiDAHCgdm48hFDI6kOJnmR+BMdFxk0RXx/wXue/S8h+uH/OFdVOoRDzrxxUaIam +jmsdQIRso89/i1VyVviZUuxK5/vUUax2/hW0BNwmmjRBOecz87Ijx7SPgVOXPGLLZ22XNZyS1JXz +PguTzwY+nrzXnkux+wqAtrYYdrsfviqeA8VLUIueR4rqqNfR1tGuSuxBVHV12lfBNLWJMBrYRcDd +KG3Acq5B1bp+CZqamF4z5/w9vrfsA08SzduqN6ehAIWOKZEKzj33gfl7yn5ewMB3w0Y2nt6W3J7Z +BXDUCymBPt5/rtrP3a7a42LWOJY+21VRFbKE8nCxbI+Abm/yX61LK+XEt7K5z3GqEt9lemPykk/F +jCSlEXiB0vDWb6tfbjeY3lghxh29tzgU7z2cHabJ7nTYTbginmkgADq1rGEvOqjnJKPGXBQ43KdC +Shi/2ex3vqCstshw3vYHHa1Fpi8M6uLcYMUu+EsvpkHw07DIVvNKoWszegfRtXQxUcsVUvprCHWv +UZ1y9rMg6uEd2fceyTgkaC6NgHdvWg3/V4LeRJppii2/H3L2dvvYC7ObCuK1HSEYRIsYaeUand40 +KC3cr9lDch2DTu0rRpvOdyDmuEhxBe0RgXwYyuIn6JKRZUu0bMVJZWyBtDnO0sbbcFIXTEsm9zJl +b/gK/OqagADzw1oEoMRYamVSh5UfGtLYcFuKUN3+Vj/EEcudH5X+4LbiwpTQkmHz0CKjy8IO4rWm +y5ekIkbMiI0snuhw0697GP9zVVbDNxhQ8Xu0k7UvhS+2wNAE7X3BNw0fb0iwK6K2KHo3HAkD1u+S +6EWs8/qU3+oGGHouxg3qv7R1V4WkdPogtWAKvCh7wjz6tA04EhTjlPTalATaITXpFdQ1+8LZ+aHQ +HZNSTYr09i1mXhw2LwYJFuEk9TUv/1CLPnVQ3ey9Ybq//1S49eo4MiRpRbpfRzkjq8Af4XcdrCwJ +0Bh3nxMoKQpQS5X7djFVDZOvsmleNRMwkKEh8/Uo/dKFiXwsa3VMcGwoP9rGUgu3haOeavmY7eFz +0835x+tvRQdg9UhpkZYbMsDyjHCEtgBhuP9GR6l3t0y69/dyWjd+l5+0e0DE8vk58UyzcxgIJpvH +zq5d7dntBy10m/DtccKeZq54FiTYLoS82WdgEUE/JIoku6BrbVN6yfxSNAjxAIqK7viz5nvFMgfW +IXt+jH/qjXoV2lfWI8WPem5JwNfw6dE+qQu4wbUKBZEAv4Pbgv1m+IY9VmK1LYuFSYgJDvMyWDHO +hbBAzJ+QPA64GVxmBcJTp6tBS7C+EW42MJJAdOgdZ5MAGLHt0jbdg+KC1j04ub0INCGZ50tTLbo0 +6hgdP0DE4X7d5hDEtP7qjV9Y1cOR6877nj8uJnTd57HfKTDf0mFU+kzoSDqKabCPEqBV4bwbNo0m +CvrcxBqtMtxx+z2vPYu40NoaYKF9TdGpmyhCLrJ+oeXqPCRzq3pBlaec7YRCL5SjpxjbnlhJt4nU +0zlB5DyyKYMMVj/khhY6qbtJFZVCXkSntAp1zZaWc6vX2D7MSqK9A1sjZdAH1FtUIy/Bf4jdt+NB +RI4qa8bGFk5kNsjkkmPOILp9nM0jwXVonDb5n3s4MPifOQvC8+IM5aDHl02P34bqxEdZ4zKnDQGZ +f8JT8so0WPSTz9aXip1nR3ycEVu8vv64V0NS+AOmG5WmtuRCYA7N/phvDzA2R2HXuNkseADhJOJj +rrtNWtx3ARU2VmvN1/+L8Z8zUroB4xgKophD4yaeRqUSbeu9A3zHQ5/9BaEDujkmYGl89bnknno5 +p+8jh/OUt3lEzEa/ZYdZUWVfxKNwLA3Ar0TKHe3+4ddQKJZXKz6xEpaIK5OkwpqhtmTTkhn1RAiN ++CwZjYVlpdcMg/3Dy/nvoSuabHzv1+l5PJlkmDCy3ODlrGlqI8YrJa60A2pEqWb4YFtiMRDmG7// +Bh+D2wTBhZuXdaKcC70LwrqQSqXXgvbaRkrEEVoWVOwKh9nyf1y5TnZrZBgruxYPr/YD6EazXBBa +w/Yp6BtSZrX3QvvnkNca9aetgQttlRHl18L9UunNUcmNQMcoE01tbHtxZPpF9s30v67kzbD5Rati +N8zKKjNHKvnkSotgkI4elsIOlMWCiW/V0ho8hEXRdQwW7g1l6XFKQver/jpF1xaBspPF4J/IVS+W +A08Cpqq8Nmi94YStAFoj/npOJ6pNHQASWlFaRLtM8HySj+zaIABVvkpoQ6Pw/IUBRS2kD8iOTRm3 +upLdtvxvcCjSnvVz64LBYD/X5VmPLHbUBb8vYPVzD0FWsM4K0muQbbVhtEwICAp1Qp8Qn+UO8ymQ +Sc5jYZnzN66h7sdsvoah+lAlyh9vNC0JfOPsuvyTEiYp9cV15IzYs4xsu4E6PG0sP0Mg4vu8iMqJ +fjf4Fa80WRET08Rc4bidQ0uHQZG71qppTZGJ/xpeiYIL+8WEwxt4i72XoGPes0/LZcwlxe5Nk5Oa +pWo9YLnOTBm9JptjMoJAvXvOR9k2JeN7lkkhEB6AVwdT5CvX9h0Gnyr+jlnRvfwmJtGSv6ihCabB +r4PUHYX1dKmwdh/cuSeLCMAFSAfFGjKiIzbZIXCFxcYYaT3JnbO4owHB97lH5+NgQbllGUvKiGOY +BHKDjIhOo2dHSwbMRd46mlD6aFTB/l8aBaqrd3J4Bl1afAhwmISZ8Y6ihbJ/+qRc4Sgb28SsieHn +kYbgautohqsZPJ25YSW2Wne1LbTnS5gEs2rWYJMZRGOaGIEGSwppshIkuh4ue6m9YBK2z9Qa+PQa +/ULQiexIa02sCOQwsl1Ny+o1mfBvZJjNTWqY+jOcZBtiWVNVVobSWXzycRUXlL+eec3Lur/c9zwi +T6QAWbJlnc/5RSh/DzUUzWBpnhDUHnhshFWhYLdY9cOcy1zyQY1rwXyQ7VNKGdZkGuc2d9OEl50s +pAKvp1q+hcpWr4E7hQ2Gzjx70pEjdK1rSmhge1NPvZ0kuIN+Dt7heA8CXNRsh8xKW2RglGNfkI6O +NmjRsB4ZvdZ06BtUhNmPIxd80vlnbEzfuBhhFGV91OOqMj7iqdSl1CZbro3DF8hiynXQQ1H4HmaC +a3Tv9EK1pDEZ4sxKdcALJxGwQDgwg+CJB/344iWjFmyie/zncKJ/8/XAhz5BPTTPxBfxcnmd+wdM +wjQu3hLFNmtTZBi4mtMzlDTKCNU6iIz4yZyl6jOtFtieRneH/PinamlXauTWRZyx+lEwArCWxuL5 +RSU7P2bK1fBpVAUZkNnQGeHH6p3FxTlPuLgzuaQmv1rHELUoI4pCWAX6jU5L9mnV89927DpcU++j +pMXBmRG43LrFUy2sy7/7XfMXupTBbz6PCv/R4NTHiSA9ubFBiVHfxQfDYdiLrsHhdD2UGfHESnHT +3el/qVrwElaej3mMyj8Dlik5AikH2rrlhfP2lZgyqmrhAQycHwtk2e/1i6AzP/uwI8d04A3ijCaC +x23aGVe8xQN+oFgZLnaQQLgc+ji6KTUa0JpbMW61EuSlgEH82jxAaiWlm7E1p1+tIVC/wsuAPQkR +sjN/dCI3pwUXLYcduSWu9jzYW48mFWmGJwCWQFCa/VnOQGyaFQ/b9W5+7KUhlD6N1k5xpJ29Osa0 ++qi6KIvHPoj9nAOaoC8yQBnhqt89qSv8QOdGNwJEpKfN0lWJsfRQW5wdTsIkOCGAddkEPQR5roAg +vgOJhN6ot0trCeWLYqt3w8uFrWrJvJ4ra+yHzoVLD8FB+sHR9BewziTAAnWRtbZiL8mC6aJxfs5W +CQs2aL080umb35OQS6vOBhvgHbo0kD8XWV5j/fVM6xdZiwsS9X8RH5EOnCseOfUzcdJCa5QVknQw +pHcxNREcUUXs6Yb+h9Nvl6CppxOT6VIN74F6oKl1hD9gk77XWHwFHQdZ9djTPgVTg0hzswtzqcjB +IC6/HtJeycdnmNEqLzf+vmshsoNZ+WR+OSViccuAxjY7HpD52ko7E30Z//ZLnyaOpvaLpfX9e8d8 +tQbvlFWTWH2FMDf7FSr7QJa+7Cr8BHNguviaiSM8JjTmvLjNy4eOQ4eJIKNyYaAah/lUHJ+OYq++ +hCs8hVZJXoD9Ge8DptO2ZJp18PNxlZWVl53xmrpo0FLcotSWnNCJNImAlIOTiKUTeWeSkneL+yqr +CZWQwRlLkEG8iJMgF4cQzpJ0ZzASKEPj1i5MF2uZ8KblOhHcTY91xDetL9lU7yejIWys9T9c+BR6 +1mGm9ktV9ujRkix5ZpzPAmBn6RT2Z/LNggYMKaqn1B0+0F23LDO5EJ7Y0DcpZWDC/zTtdK+Zoutp +LrvjbgDWT/MCTPOL9EsETrFNButdPZUX36glevhc86dCotyaSFFL7hFhmBttHNTklcaHhxp0/EDI +gDW4z/SM8bwf+qHndlMwO+Po/BxLsq00F9fFe0uXCKNUggzaFGoeQZ3NB3ry23sGdfK2gONkSr4a +ieLhaEaFwQ/ohS/iC98jPYpUtw1a5zGsNQfWHmxS06A8GpKnNfnsXFTya3JZ8bgm3Dxd8RlmAo/h +pV0MXMPKaZ8HB9M2BIUJPfH+FfuSrodKsb5ORHMzrStTHPIfdiz1+vN3u532j+tz24WPfw1i31Nb +zVZYMILjLsc5Iiec4dAKSG7pf4E+SHvvzCVWx3zm7umwuKbiktTOKtLFfThsR+bozobHPR+APe2h +FCZ7wCw0WAagcKUYh0EEP7pC6DZU4AanLZHCaVxGh7xV6lSk8Qkxdo3RV3ypWGd3rc5WeT2qfdH6 +Na0yy54DK/bPZDFM7jFEE/bvJ7ySaiNyAkH1ICSLTuv/1Zy6L2q7A9fKULWaZgcR/M1ubQfK0vBr +/G6tVxdsMR8wU+pWbtRYRkqnp88eXRbr6jMfs8Pn5XF0QP5n9e/9oj9N53Sxbj3TaYFLkuA9Fee+ +OD7LWQkHC9vsBqII9A4LOmgg+gvHQQpyR0l7MQREqGH7EHyprcsOuknbrAife/xDgHFL85gI5Cgx +FcDdR++QXu86sAtFHJZEt1Sdih/t2Mr/sEQmovIhF4euCxUMuA81vKQek5nOqChY1xh03VLA5CMv +lhcUsz0BfW3VWsBUOMAHLZBf4et/zKu0GVN14Tm3W6nZep5/b8SMI2s1FK39AsVRjf5USibvgAh5 +BoBUKOM1pFEe+5Esl42MDy3KsfA+YIP80SwhBKzvm98U89xheo32ROPWUyuiqjIPdLiCW522rwMu +jRvWYvtRuIoO/4vzN9UMv3hFfbbVawi1qO/bqgKvkg0AeH4Vu66ULsHxjSpGO7lP06UaF+MCGQT0 ++ccILOSE3oHAjE8AyZGYztcozEy6wP1ZxHkAEmkbS4FVpWcTXgIUvtERPeHGhJezD3aNav/waBRk +14dJwt9fgTyVsqrX23+iKiCiM3OsMooX6oeqU9t3eRQhbrFoTnz7If4MWlCWM0gR9IPDT4XvrVZB +eDojfgO6G/8WzhjJAC+/13jeaTQPRcem0bNRZV/Ba/t3vWUtavATyNNAGoiNmFxghkRmlStU+0k4 +ylk05iVpErKQXGE9Dn2g0izfohsJuKKt16kh7qSJWBnvujlyQVgt4VrVP3u2KwHRfxvz1vBWvdxb +KNsUUAfqug7r/wfHvVQaS5gSRmVMzH1rd8fmYDJo6tyS23jz10YCsK30BpGZXbPjYjALyfOxmSns +spXvVDRAsxJURziAc1KjvkfuAuDD4qDIMcCLS6WGeCmtWrWcS6vpN27znG9gderAngAn6cg0/8kI +XppnoiUt3BsxrAw4xz/LWODGx41pPcQAjxDqILiblqAYP2umbqZms1XkSea7Fi2Jpev3Dk4MHy/m +WL/2jzh7wnqnxgdgB9Q4mG/vZEYY/M8PwvOjBqy1l3VgAzXmadtQyWSpbJl8T7A48u5VY/g3Yhfc +thmg6r5ant5t74i3kLN4ZedOKBnUOLPcPHTD6zzg25H0UpEbJr2fn1M8QYR5pjNxMtD31wNlzCUg +GL+9x7qMVMaiYvcDNvVnipK7raATpVMF8qrjv0e8blx50SXUwunca+3zVJIAA3Kb019W0XtZpzvx +Ve7odTvgAmvbK/pkSZQY47u8n2p/qveOLffku1+FYCtEVfY/dCm38G6G/92NDUo816AhAlpu87KT +bVh7k5aBu9n8yfvJcRdxpk03Y+k3eexddz4PovR9H7or/gLKZj8GOAw5ss0nAbdnRQZRYX6p+DCc +NM3kJGyJhkLLaepr2wxC2U5nbIdbW67tFST6T2ojnSUlDKtBG6QJz54qfVSbbK4c+pvf0CeDEuLI +62aBmsduZ00yBzF4AJNGQR8crb4R/EvJoJ+teSuUilbyr0XuhUYG+xG9DeGWrJ11GMzbALf+/BiL +Lxr/8J876Gmz9HQjRLTUOxjadG67k+4Vnz7n6InDJsN5cbNfMpird8ulUVLjL3Qfp+PD97oJ2l+j +4gFeO8+JCMw2ARTCGIu2KBRYijXhzwnX0zt8L8EBF2A8nAiSGQXFYAkKOGmDsUz/c5gMdVz1V5Qp +IsCOoUGtrS1lrFkzGUITVvyB7HAc9nb596O3c1DvDdPgTZ0BgbOO5WaVNALb8bkzMUiO9fdZceBD +cwg7UURECm09BOHp+C+QPz3bbdRm9L8SYCqQLuKgoAAaY4kd0ilxx6oBvN9vNjdj1kHrnLo/Px5Q +45fmCAjNQAZZQHSAaP7KSAx/2KCHzpJpC/qqPbzZ8gBmMLH1kqEeBrKbIAlW6jXyipjor2TH2YYs +IWgGgK4PTBchp5/4CZHZyC97CiB4aEcC4sFCBIWKL11FglF3wjvVhPWVD7VNidGY818GchRjPh7g +qiDXknxLDtYMLioE7BrjUUUA+X+VPNpTdFJ81jb7AgWK/39TM/gcOzYaw7Zx05ogGw/ARe4rYYRE +AmyNOuezLRUElH7ovvNUAgM1lN8WxohoZoA16v3zF8ZLoGEhq60YduRmCKI7zxdpXWiuqIIY6ReR +kklPS5Yyjly5y74JXDo5ZJMB1THcXkj+L2ojhAJzl7Hyh6JTopICRca1PqZdbKwUsRkecZsLLmPr +ZKeQLtKAQ/njLjUrgdZCXp9ya/MiB59tiQxFyTyMjIHfpPwhdMuMPfAAa/DMkK1faZegQ2Wyl4vx +96+bpe5g3WW8vZUB8fvgx+RsD1M0qrAwg1GUySAt02+RWzbnXX3gk5eDxDqfHlYeekHMhIV2kIQs +2J9u4piuv4gLR/lpBIoq2MUQja/J2OrgpM/WTe1kVTV8rjtSVwbydnpfj+Dd0a6db9ejwNuDeZPZ +GNwS3Rm/1eeuo31hcwNe44q83iviEuy2VqLHY/VMLfvceqpleG7/0TCzWUrncSyGc9WSegMhHoWC +46Kpyrup4RTYeXL3PFqQ2wq2iTGSg4XoWWhmh/cKj56OgRNdmlxl0vdKpCHFHz6kEHvdClfIPxV3 +JOA1jMVEJVN8B8874qcyzgt6SrtKzxGd+0OAkVBSSrAPveYYLhVtwSli5vbnHei0rjP9oaX66HdJ +y+su6a99zuSSsOOAxVRNi/LU3r0F3jlTbmPFdUBsz2BW1TNCZOKA6pYrutYQVhETOFdNplEizEHx +PPbtEHBiFU+QVH1Gu28PxW0rJQxMpW+qNPjy28WnNMdL1r2OplT7bzjbzvgIRdHXxj3dckzVIGEs +3amprhmG7SYBDlo1O6d3eC5T688DZJHDilFGkIvxQav/Tirz0RKTAlMQ65+Oy7wdHXcX4G/qaKqa +5btGOZLfABEKyV0cakFuyhvkZZ7iaZbb1SFfYP5UZzWmFB6obyGDQPJdjTaL+8f7S7MxIBmn5wFd +m3hYOrthMUU7axN+TmRHjSrYnZuQDe/nSxVayI8vGVCRnD3GHXBpOsXMgKOPnDKO1X0kWU/RoqSC +oPHyfOSIFuSWTRxlkDVfZEb3Eyt6Ixm9ltalNM7xn+B/N7VBfoPKk0yy77Ib4VMZ1S5vsJdLz6l0 +8xUrqz+nn3rcgRp7w7UbrKpsMFlbSb6Ehjv9qpMB1nbl2J6mYaBi/Ml/Dj/Vrm2hT9xQz6n3FVDK +tW0eu/YDPx3kNOokWaSof7HY4SgJvVjFr6oQz0rumKvo8ZcORchO8e8rtCRXpVZUKPujBCf4K1nr +8z3jE7JbZugRpA0fsWjewdozlmzsqbzwha1/SaOs1umWVI6E/4ZNyFAlPQ59mOytSclf8HOBM+5W +DiV3Vek6eKfBXfQ3j2HPrefWCPoNAvTU+26XrXOSI9CsgtoodmhPQOJDe1u1plrs8Aa+kHdG/5Sc +ksGC1tJPXLZPtsHTE5j3usTTECB9yWKH2tKBN9bWE+Ch2m/CwBl1cT0a7kk9ZYM0DXJvlxpe1r7n +VTf4hnba+PECEEeWXR9Xnwlokqe9dNljpR7Ml46BCOjmKzkIpDayarW65UJ14GM7hvCyHE2z/m4q +TrkNcDq3wCcY4KEPdcUi6B+nrKb9wz16eZiGnKT0Ng7Xk96eZ1b7RXwxB/hTOxeDSBRsaLAgG97L ++hwXndXJrjymG2xTW5bIvJb0yg+zNfYnSXFHmacdLK/NMAtpxqH+F0zMTXhM/zMfvMlIbOGY25yD +Vy+Sa+D0nmueeRab7VKRsO7Xki/PqrCrXDWnxt1FJkEzLa97WtOEokwfwVP5GysXz5nt1EuS0pDh +gb5Oi9shUTX/huJTstC35FSSacqInzTvpXPt9nZySwUlSgvC7RGwnSwUuHGwYdG9yitPGPsf3KR0 +QJpuxYunNWr4k7PQzHMDFWg0xjycUaEI0POdp5idq/qV0dT9497CMS6vZ6jY5sSfokHjDzE1g09i +PzcETgOGTfj75afP5CS7KZ02sZUqQzQRj1zN3Vfq8dtlEcHai9VJOWbgMhxzdNqUbIo1mOQtym6y +ZyIXASiTLtuW0jk7BhkNRN13C1SnrIeYV7E1XTkIoWuKflKuYKKteRXONtVQCjcaWWf15C+pvnr8 +lH3WyLG/ZpNAQ6yKVGJWcPvtSJxORiwb2zAZ3UTVV02DZeqe6ltEAZIlAhODrSMRV5/TV3ofm9VI +E4WALntqE1TpxgL5uddZ77ja/wwmqXOMyj5uTjcsHq7I8bMgVo6C+DiI3ZsPGWH+xUvFbLjUs/yR +Z6HGzkT3mYS1hBfZy5wQKni8FlWziqM+tTGJ0qoZfjb4X/XUNfz/BUWQiVTBEmYFkc9Le45jnqs6 +asveLAbldqXcEHtzlVIn7HMLN6rfruZwRHhmmH/FATpp2pLPZu0rZHa7eaXyDiBcR5ZMSzDrJTcN +ocPeWUvfXZqsxAgpI5cajwI6EPOOuIP4njDBoLKvm0bgRDaWNC5GPf59baZoQcjgUiBxe9FNvKOH +cK9InWARG+f3ONFIqSeRNOgY4hZyOd4fyHzLw3CofAD+40g+LKCwRdqeZP6ucg2Nh8T+Fluzyj8I +zuoexksaGkP/RClU6yq1469lW33Yemo2Pa76WwTY1Nkj52wVmqF+pO7pJUphXkT39dMHjmOe1wkj +SawflIJ+D0L+4ySSbFs2jMDDJXOF7XGoKoC332V4ewoczxaJ9rPDam6fmi/xd6zNMCRNul018npe +kelA/T/x7Uh2J9NZDk1fodC4P5A1Yzkidk7Ew510+M4iCzV452ri2eAO7ROjaFEKB/nK3k0RFUhp +maeaocZokxblZqLytKoKa6xVGWnw5mNlugxMM7t67ICqygvmrRbScAn88V5T7P6uDujDBpQ6ZPXy +klpgNoh4b861vUTAyK/B0Hly74O2plYhTw4s9i90WerYH1bY2G3iL0o8sVJWRzpRkfIWB8e2/MXI +I0yC+eFSqTTcJva8CaPOMnKIyVD6coGiMvF7oZ5A/PTYcCEUdJg3ZEbziGaOMDkeMRp476i/Lf2U +QSQTHMI3JGNnMLwXz2mFWlTJFxfIgbYTf+EYjxdNXtSEgFTcyK4UeI1+z0kst/gQOkoA4bWzEK0m +5mqE3sjZCjpctEHHkgG95dLvf3kaoB/OUi14GQ6lEgAvRmNXy/BV4DgFF/UIY/3wdzqIhc89ZeZv +W9mkyc6avtq0qG8pGDp436HcJYPNSepBSdrJtaib54geYd+LexxoGVy4hIPEgUG7DBcEi77PYyBF +iAnEIyc/YM9c2o+qz3OVzv+d9M7p0fjpjaIu5qRsi2JopwOwZGPFwuCv+s3ETKC4xwWHok9dO+qS +EoB/UF1mzSEamkU0Gfebn9aMW8aCwElYgwdYK4Xr4lLa/O4DeSodlUj4nsPsVqnzNPD7X6nhB47S +p+YjlqGYHfdXdrUUcLb6Dr3CScez3evSFjNjRt2p0bYmQKpEN9CQHHSXxuHcKk9xhhEsWIbcA+qS +pRI9r1xOzpVDKDU8QTxU+UcB+Zej8+6mOVZ3JpwAj8uhXZ996K2bDCJB4r81cbRgMBaD0iatAJBD +aZqelxH5B5akquK92avLdu16cqUiehDXL7ffMhJvtBWP4WLpIVwsuIq+lZLzpY/kTZB1RpbEv4I8 +iGp73y+IgF8OKR4/JtoPWV2AMXCsYP5IElSoLsxn6/7nrz7d9EkkUibt8Ly7ub9Y1uY9P7xKatBi +75pw93XCZ5vMSkghWatbCXMurpJgCIh3re5OiFQK+NfStKhlEwNnwJkv6H9XnLoHGdivrn2qlUfk +Z5y0wjNUM5kgF+gUe2t2t/G5lNvJG6Hq+2s7ISqoluANmgie4ZaLrrpeNHC8fO69+NSr9c44OWVI +LBOPiu+DYXwGUpM1KQD/OD2Y0Dnv6Wvhp/ADZuwXwRBKCiPAUL/xuFrLeosycZUKOubiKDDfSBYP +oihfoEJatCI013fbEjhE6GLoPqhHyAm6VkQBJjVz90eCAhJyAMcjc6rwF2uZAJus9YxakaC1bxMR +IlrP5Gq2IgSIRhXR4SzL0+n3Nil2mlfvbJtybkK3Zkag/hXFv4CYeI2Jx8g04u1ZzCDDLw5BvtXv +hLe3qts8TW/xDqge8wBKlLGopiTL8baeE63nPzf+yWTNKWjERdBrFaCc8qLgYfOOzvOb6cXnrzvr +vghcRAoUpVuqAg0ysy8DLM8hG/REWtoMjqTG7FC+uUEsoGSWZUUrtYlwh2PjGXsNX+g93Lpdjywk +EajwJ3lUmQD1hCP1eQn9r4tc3frPCBQE1trdjzsbQXYRKffTFk2fO1M7bqk3Xh0NqBOC+sXAgq9j +e692mQHilEw4ELqwmuT9hwgIUSsf9WMVVbk8DNe9WvE50X2Eono/v2TcrqBDCTnEFTCY3viQiZhE +1iuh5UmnppxyL+8ggzjbzyLBJIfvOvDtmTMcNvqMeavzEqquuLGqXbi+CteSsO6fv5mr2j9mTtKd +JmUy0ysqIiMnzFGQK6g7f6Uz0cfER9brPzZ24wj1IfvWhClveEl3xz91ON5WxuE+0BhPeyb57pch +Sip9k7d3JIIhNWBWM0VQUi9xMYch/juZLyBZVMNqZal1RSrqnjzJcO5wfIWizjgOtCV3xuy2/YQ2 +93TIqCJTzAVUaJpLz9UEchorOhltjBhlrbMHNAFpeHQLtFPAh5bcVFMmhfHt5PcIUklrNTtQIVow +82BuVB4A/VfP5Seh/laffYqJ2c4ww81Y7EAUd8UIpvgtbij9FIH0KkspXXAJl4LkJB/2kawAumC/ +F24avgHzdxiMsYRVgnGb7mkCz58NO6ADauetYqqd7nmTrIDRM0xTYnbQLciD81ZGSAQ2yaUOAbX2 +SBjhonyJ7Ert0bUw8aSEJ8T/PYh4wTdf11/MODTFILneFTExXNyiFZnWInjo0yNqoi9giGLALjiz +gE38CzdYrhlUs62+D/lPCivBCNYMsDV8b3lZir2h+B8H0icaCeJkoPzrclyFpoa1JRW6mrFpm2BE +89Jwfkubbf441UMsw8Q9L54WzAsf9d49F6X/Ho6hv6GdRsZEY+aj6p4SI+m9qMial4KYEo0jsEEf +QIVfHX0PHYzmWEB0INqcHFeaD4oSV9jJz0exv9eXLt+Na2fkUcyLyS8mucazMM2gWwGWk2Os8O9r +LkviptLe7M9AZOL/S86RJpRJ/0mP7IV9RAKu4pymlG377uTTvVFESbBYaAv1jZLkTBtnwk4dE7i5 +f7YJGmSIn4Psea+UssflmpbW5cZD0CmGiuc32K2lgDDntKM3OX7ySkgLFQ494tU6Zo1sZR4KwJwY +o01+JTgbIUafVGAw+dWk2XXF6+43xEwujP/b14zy3zZV4FLh+SQC/amVk9spXEC7Pcy5VjOvSb1K +JCSGM/agHlb+lU2ZTl/pjVediEsX4o7mUZ5FZzzE7yHHl543MEFt8/fYSTV8hk6ltNBxJos5FIlw +8qT1p+NO8CbX1qwMALhs8Wef7c+acgWoTL4bUqKtO0FG5OTYMgBusJ9iCbQJ5aZCX+HauH6itYVj +lpPS39M7uU4s72LeZRZjgrsAMba1lcpLRj9bWM/i05wf9K5V3VorQhmELnf12py8I51HBAHZFoUD +JsUO35yUeHwU45qlSoXVZGkQ3GqT8TQLkwIo7Xqv/r18uoul7G7bFfgaDBNhWm04NItD/l8F0C2O +9DaOCG1nzTfEzkvHzcMO+d/wn6XgoHw7hEEPHNiQMnm3JTZhnivTLc1zGqwLq9Y81QWptbejO1Wn +LYfEkGe/uORfA4vkQQ7FOcbAdf1JmHZShsrCp1BIBkJf0gSrA082PtyxC6JCRhbuaVZKPGhISQEs +8nM7kOa8G+GerSdFwo67qjcE9lSy5ulPLLm8NWfxNltrioHnbulQ6GWdJpxlvgvBmLh2jW5HGcFO +9U7O8o1x2BLYlepG4zjbAirFWE5hlI16vow+K7oBU/JrCvG20vg/JK63CtKdi0TfqUvnhb8n5gbp +jGaL2K8nxRIn5/9VpNcVhVx/TkBeKbB3ncGAZu2sK+xhB006MhyV2vjNjEeL9B5mkHQwiFQPwsC7 +E5xaqI+BciaPTDv4uFZbhXbzkScNE7K3r7S3PkCHTbkqoLnuz8wVNi9nNZbOmqVJ9gzfZrtEclN7 +5eT7swVv60udNBTFdHmi7g/Y7GJaVuOUQz9vCZyV5dkHM16xBwbAEvyn7e0z9zpzxTXVoy2lcRIb +nivVkm8ulD2J6qY7brqFor5YnjyI+WCC1BI1px4MxbNUKB3IuxbqAxJY4aK6NJkAQAxRHNoTqQEp +TBU/2CnkB7LmnDS3kXBnJIwy6QjOk/bzDCJcHYyd1FEVPGV7ydMNrMcPfVSYRlkpBbLHsm55WLY6 +xTSJhliai5wxzz/Ey7PfWNCjEUiomKihZr3RXy8lkg92R18lDF8OdZbixv1HtEnKeOWf7NP+bH17 +PlzdTm8V2FjG/hKoj4UAwf+SULNY0l0cP4v4fnLK5i53hQVeWkR17M8x9GH1DDqPjptnLX67YBa/ +6AYuGYLSlCUVFaAP5Yo/Yx0vhzuBSNxFziHxYyCPqriVGOnz6Nbz75MI0hxSba3c3psijM179T5w +Jr31fusORYsfOnDruCz+t9jeNXMVBXTZnCPBzZzEhDQ8wlYSnzNg7WZU39/YOl7GK3CD4iRMaS8K +R+BjPEfn84VpC5pFaeCfvoq/IS5S1wHgBqbm7Y3LBji6TEKrlMw6bHOZAcCCznPc/bZWFGzVgYyE +lW+1vyhoZBx/Y6z4NO4nZkZycxRnjHl+/oAMMn7u9SFSgEuyi2JFdzvttZ89+KHpmIPBOGFHafej +7YxMJqszdO9hz8sdomAwQ38ihWUvsdg0DBNWo1ec+vzw1GhGs68OZWYLkI0tVx9G/dcTIYIeB7Cx +YgR1GbnRNJ3U4quZB3OMR3uHi86mmr9kXjuwmkEHEeytxR+ta41NKBDdccKzHoYTFlm/44BAZ4Z+ +xsOYnk4z9medBWV/leWggqk8nKa9fkb5rBGAmmfTy0446isTzMww+c5LbxsaIW6D+GGDtJySxBIO +ToWggGq49xtvcUVOEZrTV9QF2Ka5g/ja+EwK4euxPIf8/mDZEFCi9j9eFOR3fnnTvMup6/qok47U +wVHrPlotxMeZy0MGFDGSKEXvWBS0JE9tnThUYaZRq1JFUy/tl0HnrHfkCSJrVmV+8YPOJnZSPZxO +zkkjtCe6YxSJk4A0bCMEwg6ouL/3f6ZGuSxO6k8X3M+BzqB3YveOris+s25RggfYGu/opWjRtZgs +nitTI1xsN7VPDjgwUvRWwL319+rvviaUSTRbs7icXnJUXPj0kkoIT0vDr/Jbyyk/bP1VVZaWqjlW +6/2UAVVJLHx0sWRKqiEsv9/XxIHN3tqzvSHSqGgMxp5md5+alwL8TKPqRRpDff8f5UBL7MVgyVTx +BOCNqdAhCtwYpj/ufJVRFRvAH2t5JY9ec9n4ltZX1o2mCKJjFoNo1QPuYTvixUOri9mipmL5dbh5 +gobrQaIRibHorScUeZnq2iuvnhRaOrghikEJdyDG7CRbJLTcobcGEXzZ4XH9AhcwqQHOLffrKDAW +NhEq1pDbYgIhOpgu+cHALpzN0RtHptnK+63QAD6mUNXae/P6LdHqa8M5+9Ik/1cgP/a/B8eO36Ot +pTJrNLe11+PmUS/Ye19RVNc1q1MwIUwrpnH98fmQZD0gAOu0R/0O4VC94prkZImmsAQZZPmPRhJk +++j1CdCO9z0CzrjMQ9AIvswmf9zZfDycZP5oDsCP6lectNzV3udj1ES7Y0Ony3cGFpjvVLy/PMH3 +PIyrSfnLBbZ8Rvsi641l+JR0zb96mmdWMNe/yDuXd/6WYz8Esh2YM173eKU7vwZuM+36EVQYxA7m +ePYrQV2Ls+5UmgqiS/Xe+vHFHeSZkN4anUYV4sBlZZJHG7XGWQW/m+ihwbi8T7mkUVtn5nhSJL6M +ng5ZTz1dHQHiUJhhRk2gf+QHCK7sfd2aqmWE/dZVfVs0Ve+gx2dlYDiu0X1PAok2yrmW9Hb2hGWM +5bJa3+uI5d1GWyrtIf8s3Zs+RscjCUAGFQxIMyGAZ+R++9Fhh+18aIgb0CxkheFSvvrTHawwkVWc +TNpku7k4Qtp4RrGpLL7CaeKq3LUeCP0rkJ131E5blGwMtcgNe8uf0Twu1GxKsiPCXKnP0J5srLY9 +cbDIGs/n4lyPkYvPKE1VuvP8HfuYc9/4BsOZ6I0/ZUiElvhT8hg17rBIEYgcEIAD4CStAilEnSQi +yh4JD5tGfywhZGrgR8ZHWikkeLKfoxoQv3nRdtrbsnPSgi8EzHnUppmZDS/ta7cnxpzXHqv4XatG +SBkNQtXeFkZE3Jji8r11F19m67XUZWlMhuW8IYvAnDI4uf0WHDXtie+vWQwUUNZua2XnccwZrF0Z +oE9PgJc4a28yxeRLHtb9MLJkQOUhzDcY3BeLyxj1pOcP9DntGoiTrSWI9rhZkTxdZsVzV/JbOlUr +I1ngGoAyDY+36c4aa3B0zf8eHKpJc5CzYLT2YcBJCmgjCdd7XRYCh7pJos/kNadXSwa+X2BGIHpK +5AToJc4UiTKg5cVRDNf5iha4Wg+ImoD8uUgfyAAq2IrFcSn7wgudaeg6tqTiSfx97u3JBJrhveLy +2wpifiICQ7W5hBl9nh6AQbezuIZNa4jx2OUSK0blkjb1TvSd3R9jOe7tDuzg3LeB2lvYG25/MyIk +SsaQO2CvIaeww3YBRUO9WAUtTdlA8Dd8YWrflUgqZqxy7ESvSP5dGyNoQUoULxgqXlHL/he7TYP9 +6indHTSb7GZzrNnGaWDCK1L2IFTzjfQlx0Wse4Bwp7gbmns+Z3Df8z1695rSASNgjKFvwurTMbbt +iFl2myX5+80Cam1oh3+1cRaHiPWqqJdON+djFLmOH662dbaMVMnzP3ewoImNYxtJb8J8RWggmz4g +RpWMk1EgUz49RAHlBTpEe2kIeTUJq5g52IegkGnQeTEpHH+KfVNv0UkVC0XKjDSPMEjx+/l9tbg2 +ZXzaMmcO3TIOa6u/AGx7AzZUnXy5Nl5m75KDa1lh5g8Z+KrTASw2C2s44AuSUvQsLaRrtbab0azu +uT4FytV2t9Aa4qlu01CvVqonAt4EqoQZNpsCHjugt9FmZmVrRrxK3QPCprf+x1aKQs65TLnSoagg +CBpZ8RvopGLzVbem/SDau1NX/mNKO/O0WSp5rpkX7W97PfBdJTwNTsmw7JK/nZ/ETok6GuXIYZKu +xOr+KbS0V26k0nybUSuosMAhE6VguT23ywLv/i4bmclfC4Vh8CUywywuLmturZdkXYhgZ/DOewK8 +NjNxcuRy/57jA3vYPE6GyWSXL02ZzklGhMT/KoAHlUATbMebUwg+YkrNqYCAxmC/7/gwYJulOSe/ +VldGKxuurMpBiw9aDyJ54S8zrprkIsR7iciE/2a7W0MTR+ebBODUtN3tkvB8D4+gPJ4B2VoLhBDk +HnoZ4ydBqA+jWZjxbhl9GYt68UY+fgcKfqDu6TNrc8+yUnihtfbVj+9C8SkF5frk1X4gjciV4crA +trDMBiE+M+LFjs4oc673yvLOu85bqmLatYRUZwpieFvSwdXuNEsz/Q2VqxZj8H2UoJzlVfW6x3bj +Jgf+DIc02ZYUPIHXSm9uLSTSiW1jHfjMwR1ZAKVmsSMhE+yhQiA8s41wWmoqZWsfQtEk7DIalDvO +I2hkfbPePrQ0TyDEmXCNPqP+wieVAg6bhEAg0In0qGCaKss2OBiHPWwSsKujdx6nKqleu3bPQOi/ +kz3Q+m9VLjitGnjUehtqCOvYQQFHqmfjzDwXeW+FW9dj4m34Tt2cgyFCjPtaHaHjv3OT/7Swx6nu +DlRNLjMW4AB13jS4SG6fevC4dnzPM2PxDEx8wKlAl05eM7Qe8SEHQbiFdzsokQI9BDUCyu1lc2ku +myUPMpD71DZ7JsOo/SCy/ITboKZ27bME2PIqmdM6Fy5UsR5Rm+7VKZQBrVybHyTU0bTgqKT6hw/B +ToQsLIVeV8U6cp48wGRXx2so6tiVRhCQ3llbSFFCMqZgTHya3te3SDODyp37GFuLtexRZhrNer9Z +nLMJd1K4zyDzB7xmVr32JClw5NdafEa0k5040fo93wX/TEwbQzwnl1AtsoxWWFNHNnwLkQj8BzzF +kV9isjggsokZYgcDym0N8FcU2CEILTMLXmfQwLMmbwvdg0gmMGUBOTN2ytaZK9YmQRWTVzV+kqvj +th5FU1hCWojWa5wAAg8TX/Z1Fyn1kfePwlOpmclUghj9myrC0me8b7ujFqC/JYMEDeVV29vJP32Z +CVMzyvyejaIqyV+/Lm3qwAsI73MO7i+hqyCdu1jF5fNWMjZliouCrk0dnJ2FC2ZHmoj/BHagDE++ +2zmAxgBPd1cQbSL0jrG7QpYa/KeNufzWGx3KUG1VBRX7sCZea/L2II/NUOLE2URHZJ0ixl3v+6UR +OB6/hVwz+e4t/abxowFYLzWV4F30jvRh54KqtM7T/ph1tbDBPYfJBH6G4gmeNYY681lhdzIerdhk +4hdfU8AlVweVdWez42ETaEOk6ikTQH4iQAOvYnDJzY9XEWgB2XHc8UULlEoDZVFjkRYtvlFNB04d +t1xgKhbGrr4omd6PlTJopVnoCnQMu64hgUl4U0bGnQ4vHa87rdmOk0XEaLE5O7kr0hn8nKsxZtrY +C8Jmdpm1Ms6j6WuU5JCOmNxDVmdlEs+4D8R9wXj5Za7mU5lpQQQ7JjAyUYdHoy9wVlX/sP95qItz +mqBVVpZvmln5YCL5NULjSXXYpC/BOLS0t0r3F0asxplrxYlKeKhSb1bPUhKN/rwuMMDqBjcwiMw8 +4wxFG5FGSO2hiXmofxlk6lsbOvDeUA2Ed1QjUdkQcsqlkxorbIiEnJAMorD0MXK20oGqvyMYK3r4 +HAu/GQ3aV6eJSjJ8DZEQC5zr+DX5ksX2Lpaur76QR++pE0yCTUEE1kiqeKnoNCBGkFDZnlIz1x4+ +B5ZRYll8HFDuWzL2yOOs3vrRubTYyKB+a6SGXw77E1Xcub08kOngedVzEZ4oE+FTv5XzyhFsPwdd +WgV8wLVwo3+BDajmW0bHWC3fUPRTAOTA467iu0yz20lvro+A42NzPMUZlU+HGDUktEhEsGZs5Ylr +GqxQM49meyARz1skzzwqqYPpTyXLcGrVvexZNf1Xfo12n9m08CxvoFIbj8zgbxqlok7iJoo1CGIV +ae3UXllsFW4GqUAnrxccXlrPysoQEdDgMN7KjfL+f9bzIUJhedYAlrHzNyT66yAnmcDymrgxSHtz +2Q+h7BRL+EQkZ0vAB1OXYiZCecQYOXgh852Uwo0PSeMnaPkuZQrMoXaUwzb/HLujTLRSXvmiawnY +Epvd2ufrlVn1vSlNFFgvo6wpHo536nfysoKe68bz+JapFb7bxDb8dfh8Dm4V/2EWMGWF6O/cOMp9 +NDFNpuuEzlDSIA32lZk+KHue0+18KUvBnXXS+RuPFS2LueKvh5h2PPifAyIR+eISmAfbE15n61+U +WuEohC4BmtkwEz8Xcs0RJk7YsfXoesYVx0p2diSzMD10f86Kbt1PoqgTW8aj+GDbUXVYcnAfF5o9 +LHCDKnYg4I+LRHOBM/pZtUlHv5fSgBZeQeufViF0/OJ02P8RCP9gaDUX7IX8gWGCU+HGGM2GCxxY +zHZPrrDvDzM3gMQDuYJWkobLE8COAou0IdXtBrk/j0iPzNupvWkxMdCKMqmcMf2pbPWJn0QZtmyQ +SxyFcl6oStIctadKzs6ANU9SY2p4F8/ZrxXtib6ilP4v5kDVkl1emX/2I/HQXOJBQGoqmVd04ZVj +YEM59iwP0wYsf7lsRfnXmtVjPiu7MbXxDGOL9WGCR1mZhtmKvswxlflaw4iLHKjClM0BimHy0oLx +CHT8cUI+6vYC/c+5MiElh7ycN/ZwvrbJzSFguhMy+B4IGA7okSshhPvOlqOsypy+ve1GuH1mf9dh +eJ985RrfiYt9UbaiP/hNQ75G6453dLuxndHFJUq5TNjuoRWhTgfSAl0PuUGhZLcni99kncVbbAbd +myG3FFeQ95AtRsMcXuP41PCJ7FLQXp2ZkdjhkTBA+lHFDXA2feBsqIVTQSF/MrhxzNPONAgUFBIx +Cbf4ZreBlxB1l7/LKMRh/QWD2KQxTm/+lGY0j0kR2yb8wxxEwTSbaAF44lZJ4CjjFyrBd0tF9O9w +qfbRodDba5WCf5kQbHuyUzieSlG0VzsOv1DtJLmhT475QjYjz1uGS+no11apqMUUYOy5pzzInz/U +zVEtd8fJEVntwNj2xzDGlYIuwA83rgAieNOD0r2y0rYMx5US86yEm7De1WLVyeFb6JV792dcKhPD +Z6SXTa8x2q76Tr7ryB78ep29N113o3tt04uWrgw6vXkT6a90io2pWKAa+I/H51N0KfO3waz69cXq +eNLuraj6nptqVDjzqKoTN7PjK/BdlgH1m02GkhzdQABmCwWX0S2Pb0GTWDh+EBhXsho2T32+ICaR +tygQlOxwcxoXuH9C6FFQPD8Bc8MTBgkWQqiclPS2zVeM0ieC7qAWwJXbiW/IFG3CYtBpsAJKlv2x +NQbLGTeibDPSuGtT9m7Ayw++JhvkExHo9WTBgLhgi2DjDqBlIVevPftoNu7DbQ50IyjdXpLgfPlW +9aEUyB1uaMiG6Y0unwwOJWTGw5kGQzWMAR66p8V1/tMD2O96LvJYgVc9of1r1MkSYPVDpe9FBUjd +MAxPq8yK7hh777SN/K31/YL9mHMHHATKbBCtD/AzosKKlOb+RntZ0muS+aB4TLaWajMmpmf6sJcK +RL0FPA8K61NFWvzLWq3oDGCz0gwUrHuByGGa17ZTvBYO+2+BKa8xbC3Rp9uENKZIo9F44vgEobMn +vTsXzXN6aSMsl4kMDqTmAo+aUJvKQThmxsXelIgs/Pdi+8jFGV26u3/rnRnd/ZO6b/NmvzofirXB +ICJxtooRBvxla+glCbI7WD84CoLSgKrtv7AgkwQapKo3CjBW2BdQ2VjlJaRfySDO2Aq/aW0mP7z2 +C8UhFXVCe3sEolIuzFS6KRQC/sRhLDLAidBagX389yLNBReFmW/SUgk8jeRRbo/LcIM/hTEFjYjm +vdoFAaa/xxQuAkjtRSSZYxPNDhaMCGICn847yPmDpq/QxkCdoibNYmx7hdylybr/c4DQnblsTOWo +/bv1et7GTrKLIJtpp8YPjFkh+AVeOVzi3nIvpAdVnloK5kUFj5iIkZa1H/475IfmbYNNy5hCNRJ8 +S6Koi2fRDnf0g9VjlA66UUIYEZ4mfIeATVbDFATgRmy4BvXq+S3ZaK76MUxlyYl36pLVOANwGiz0 +I5vrkS55akHLLIRrvyyDmM40oZumzgXg8gQiC7FL1PDhn903Bfi0c9MbcL27kAO7CW8Y2xHiHQpp +eSMQNtmrE6T4Aa2XBHniWE4XiYZKFiSs3T7nYxaEBD7gga5nDlCcSOX4vxT1kfA/YA9CkzR03C6I +Qnq2IPcPAO4y9r14NEAgeBiT+qUsscJISw/Au19vE5rCrkseYB8M301U9DnThnONhnQzqG0rN6Cl +cSShcdxdhHTv5eg7BDUcH82znI7+JZQgG3jb5Yjs91SnWhGa7lq68opcqHFkaT5NWOFIc2Ym4adM +iZ1ClzOT3ShmiDlysSPdIcPC1htqvhisEZw1njxdxavhlcZWqxADBm1MkJLcfr9Wo1JdkWEt+H96 +04n1tEdjtYfzcSKqAKd7Z+C5I/VyQGjoIm967N5ObGrnF9K05aMF3PpP54yCb7QtLUbgo/qUUagK +mUj4/QWwZSySXoPALhrR6wyS1r3zBBU9SBxaju1/nVsG/gm/YmYtB+5uQObm6PnYlJmnT9xvGc7N +YvEA+Adlh9db8AqrLAtvCx5jQ44rmznfeE0HDCwIyN7hrdT/udn9y3XuKhuDH8B1LrVWGIKtGh0e +v2pg0tod2gres/vuK3z0OeP76nS0RQrDx+fcoz8GZ4zCH9VHP3r4/CInMlLfZZNz2jZXep+imNiE +4E/V1bW2zrIc5gYuUfv/HK+6hK4Y7SwPlkZXrSX8lc/tLsblEuNVrKubQ/i/VxZwQFxVu794iqX5 +Z21TaWsNaSeztWML18Sq9CUsg+wTghcduUXFviTOfinfGu/tATCXYS0hJuqN6/WDtHN/npfQKkh1 +d5Z0mGBZM3naV0U2jmx+/ho5TqftO9BlEMKVV5ox1Uojui6EsgLQr5TyoyK9EeqblO9U1BqTVESN +r9T44b7mGpv0W/lvRnTCo800ay7qj1DI9LxvPvhLj/DdzmwVfCr5tIP3N0z6MNDiLIYyfBHKZXCY +AzlGPJXCWacg2TSq/QT8z/CECWYMiRVmGuurUfkgy7suCJ7CR0T+LhKY0aoFNzJju6ly8/TQVPdK +eIF/0duae6ScvlcMGJWNy5Xcl5IH9GmQd2nPwD7kz3hTy7cJL3sIheb171+TZI1RYveFPNgMI6Ia +aoAL1cm93Vxwu0ecemJc8tLZswx8QIa1OxqmopDLWGy9oMAXE6FGF5bAZOozJmhoCQtEmBF1ceQa +gHiEs8B961V/J5d8tkDm0qWBOUBvrG7uPZ9YRrVq9FiguLjy9xMh5YyLQE14xiiD1Xny28ZFp6jU +NkMYBQQKKEbosglpjHyaOnouKaWIPR5pwd662s4bgfR7M+R37KwaIB8y+oW+YgvU8bZePXztkaAE +412Co661lH/2apXq4dnAHePcM3/8YvFd5FMPIrxGpWjKjeBAmxhue+dFO7O6YD3nfdVru7KDoEw9 +d3idmi0OhULNWk2H5Fa9ivMSh1QK+vDAbzNpkXbjPZMmL2lOJefSC4dMNf/qlcVOnqKJ72OLszFt +nCeWjRlGUzPLpql9ggRE1LFt8IvFDJY9K8TFAovffGCnCnzRbwWYv9HocpeA7+8U9i4nVAc2bWit +mvka6wER2NJ0Wok/gqkjKNJ1BXy0Sne4vfTSJE8T80qfCikd+E1HacM5Yk8gB6IYov1XijYL4Tdn +DvQ9uOCQ+LLR9ruHzcdHgBPNjHXsHAd4JYiigi9XnxYJbdyC8I6ZEkIRXyFy6f07Rn4XQm+fK0cF +HkRn4dMvlDsB9rrsWj3fQNc2njoQR2QZLVxE7kmt1trL497+2Q1spBXrrAmXcPE9WKfUwfr9FZwk +aYuKuyutsQs1hOu+pXsy2Ww+aWg8JrSTHLcGDnckV4CIOvkA6r5lBnXrwHvFiO+L28+8DVsmoRxi +jICj+q9kP8STFSQHewDQYTwNi1+dy2PzTEpyALKAo8SXdOWJ3jEksBUrh8DnBB7Pl96kB3n3xibb +xFMyvi8ghem05ZUl0SWAHdKhNgwbkhHgznk/2xcKnWOoduc+fCUhwd3hqYd0jEXdgqKWxDHX67o0 +sErRXk55zP0qzXRpdykaOyJh0eCEtYUk3sLfn8ENnfS1Mfv8vTtiZvWaUZRv/aBD7e7ADzSDsZcd +6BRrVGNVTzbh4PV6BIv6lvlBJS5++1vgKB41fUofbiRXG46q8yTq1bVMblfn2SxPn/T5W/+7Lnb3 +vLYpbrTAZaT4qCCu8YTd9iakm5WFo5/lR0j7pqNiF6ZFO2nw5BQhlk12asfwV0b3oMz9bKeZYxq9 +gAIgbhH1hWvY2cM9PYK3HEgKPnf1UaCj7MVV5Sue8xa/9EYqW4Cv3kh/CEff9N5RPHMMeY4bcFqS +Z2oU65tFopJPvAXjm0tYSXA4iWl6b4Wq/KHyCk49bBUxhky94TP6zE6YDCKZbzfQ4u+w0u7QQasu +lKTxTXfuR/EeeleBCUrKnsLHgycPOaU7Go9JSFaL189QzLV/Q8voGHNYPbmt7ROF9aBQmSa+BZEa +MPvLP2/jpStN7eSuXs+nSgcgYVmH7KTdAxshmjrObIgaCp57cnd7iZqZwQPD2oXLYIfx9+kwLeVF +zF5eRSUOnWe1oHI0fMzUQSl+/skzca+8XnzPpdZamW4sLdW4Xyh3Ugw/oA4fsvWwXrGuGu0RXYFe +iB5PgLLbKdYZy09IeScMGMUwegDwFMNSAakjUHBUxAiic5Efqw7wqpdDCYsQuSOeUh7+qH+vahYX +UKMk7CMvxD3vedrj+vicgHvlJYZgH0AfgQjMDfo6sHohKpuANkE+U+QXCMS3PAMBqL+ph/vX7IWq +R/XfmbS1bqs8hpAPRJtgpDIIBMsjAB1CXBguso9+uTv6JZV19SfQYyc04C7ImvjU0ufplg8zUsGl +kE1FeT4oM4LEEqEOv9Ko8QuCTSjHfO8L6L+dG2etek5qg3gDJgKU+7P2OiLagfMmIGq88MR5qnJG +QxlLt0wW4sHpMsnPxHPGAbqmMZ3kCSMYrAemkvv4AeAoutMH6mg2DAIBDtL+GL6sEEJHA2wPWvz2 +nGlH00rsrcb0fgAVl3kNyr4Tb0PVn4EDFsMyrUFo5jB6csZwdd0ROUDvp5QvBIUnMZ5LrAdg8jIE +/6YlGSk5bL67kvrkkUBb99G+UX0b8GEM3ksUcA4+iirtwsBbybAmTJt5sxMPOUQ60/2p/dAp41sy +AeIJQ9bMOywaxKnrRZZt/eiPkKe4rboovAd6DmY/wMXHyckbI5YimyXySodEeuuEomEIJ4qLpcrt +xoL/DjHGCu9nMtbv03mGAepZ6q/DlLKZMLODeoi7auCD7nrzFbfDKlAyZJzY9Zexw3oE0hdqWAiS +0D8iSasHrpSt8aEmTKRkH5p3jbBJPuKahQ8pRoWXjGLedArJWsAfXiLKXaXLFtugLng3N3fRzO4r +ck3U8yu4gEODIlHjUFP/ipGYVaz1W27bEe7T+YqIRP9ANNa0oXjQs0/WXFpZTE9vajmbCZ48HDM4 +aC2/wk4Hz5+2ymcGi8jcY9LBXRxcaZWIWGMO855BrgbKOTNNvdk83La4hxP/8NQKMxsaGwACCGbs +z2PSk6x6OhvW7MUP3q/h/YWwdS7AlGzLHzSr2U01A3XBHWAiiiME/HwK3uLKgBVnAo4v1iU8yr/E +/OUeQchVrt24l384xNLURv3LyIIASdmMNzfsLkqkUvcHwiLX7JbsqnSolA1RNykzMVCxXSxte8GB +r22V/i69iio2Brs3zOguGbGEIHZO3CZcw6gb2055DmpXoGY30KEhlehlc1gzLem0OaqAGc/3TRZ0 +1fAXlpNMkv95zq0qhKNOWtT+xF0Tw7D1kRZXfVm3Qem2sVi5mYCKCQDuKo125st3K/2ZXInaQpyL +wySlizZc25XQPaL4PDpfe5EHSX0x1OSh/eaP2AqarrTqehNV0sdawJb9Se5gk8Vj55KUedCqKDpv +2rslfhoH4qCNBlMbNPhzvcXs6JBwnGQiX8//ieWh7k+x0Tjidx+afuzKI957AgcXeo4NATZQbCmy +qSGJYONxFVxBxhDTF/L/hrb1Lq7LTsW5zmjxQH3c9RvYliIeaVHBeXBVlFGKdD6S+/igKwHWKPWB +od8eUBsBMsskRd3f3MViwj9sTuqlXOU5zGSIXygUieRr6XJLUUVuxSMIHu57dzOHM/solDJqEmL2 +qJYdLszFJ9V3GpZQMCuoG7W/H/Oz1NoVjq3xBuvsDjyCwlgVU2i67XSYINDLBSQCgAyLygWzQpMo +4ZXWIvtokDeAeZpR11LkHzfYKKKiSX8CSNuFBlTbpat7qKshtw34T/Dsdb25orX5rLI0dA1EfYXD +ewiXA+VihGYdsdYTWxpIOQUXuCvWiPO21Wo5oQNrsnGl2fZ2SF3Y8m1DXqu18CoDhDf36sXNkh2a +17EoqAVrooGFq789kjkHjOv3mM2j3wfnMnX4nPfWd1rf6VQ4U2+5oju6j1z9ru2hMQMoAECvP3PU +GxK2WJYyu63IYlUn9viyum03x3+0aD36FrLU4mwJ/6Xty4XCgXWJ8jMlw0lprBRG0ta1klL+0XZ+ +LG6VgAr7m66/c2eSjenApFaF5DpGjX7j5gMKnHMXk5+ClNnxSy0pHnLsCzdmbQROIS3PPpI5c8Y6 +fVA9Sc32+aGVCVx16wpT50sf1CgWSBuj8fZdGtQ7E/JqBtGoFzVmxaRyGJeBTvX9+jQiJBXFMqKf +IruR8sLleUstz7yfvRuWa8FLVO9eKf2h864zU+zLlrRLKqi02dN5IOjhaehfQJqntVNeUYhqvLEu +K9NXJnOfGgNVqi0XmYG5cE7WgOYkhXEYIQUv2QB6AgxVNhAGPfenWhP1MHrMiT17tkw/nmveQzY+ +JV53LxBLDMeuQaZ0rt4qz7QaNM3X7WQ1QDYgxJjEBIJzVknKsUcQm7awhcXuK5DW29Sy84UEwXro +5fBiFfT2oLgmIFxfqHR1nVuGhD83OfxjsgBagDHAsV41afzwxJflLMMVMkm/n/KdyqvSYHcU0ADf +Pi7ckryddm9eFphgv0iOgUjXHFFDVydp9wmNsrdwnqqAjGqZFHBpNvHD/M/KwpLoBhwaqO7PMKyA +UTFA0KzjnP2BMENsROEXD/ksrEuYP1zS+iG6u6sYKoUlhagx8v0rAf9mF7o4h5wL5C8o5Ul8vyS6 +cwFfQmSXy4VMuxAiH/UAdlkYY7dD+loQJjgL8Foy5BEE7Mesa+sPch7WxyxIKA32n1JqmtMd3fXi +66FJ7EoNxyq3Z6dpTeihXsLoFkQgl4YW4+Is4m+h4Ak0RXmG0Ah+N7SD3BDyhD+4QlJ4z1qFQLvB +3TsKK5gtG2hvWK2b2i3Rrv0pbkPXo5WTUcveWp9cjEdp7lvXlIdzstazxhrQjbYp9T6DKwGYe35A +dM+j+mwSiTSUfL5PW7B765v9mGaT2nJe2M8wDOWjN3xoJGXgjyaj+Wyi/NUwpEvAQEBpNanRtGaq +mVDvjVq/eYgH/DA32RD73afd6fbVer1U4pQ7r//ogh4XjTG7YAmpA5MxfoGiAskgChQkIe2wTBED +xjAvsbz6hsvgslnrOnECvJ0k86SXHcd3Xuzi1UW2+rUetI19Qkbw5K9z6llHsIvMbotP7hre8dE0 +Okv2v1WyNb3ilXdicrp3l2vrsnB4gGgmOtNjiviyuFWNFTEtwMkYrtFqNYKmwUGwWEA00ePYo4f9 +kMAJ50b3+nrOS2lnFV6aeiTBTegoh1h2ubZUUniddO4QsB/WO9oAod4Myg+0nBwuBBhp8Ru3sbMa +1dEsDR4Q6bOMQPB3sM8sWHE1RUOnZfHlVGdqnbGU5lJWvRIKIV2FgpgI7ALPAb39V0yeGjEv0Qh2 +dlUFtE6qTjvUqGhre69qfideFlsjwhTSZqGSiSuSrCmkPBB1104R3fe+w9LDccGxTxt6QaybKOjL +HHjdJqH1R7goPn7tU+LX3OJSXZXHe9aLDk+u6tuN3RSFQdb9ZKfbGCMXWErJ5uz3FLdRIw3Mcfg5 +viD4DboOykqCNQ6XKNUUMena3Ezm6nS8AXu7Gli8XuysI97aAcJANfnbDjpNGuc1pPWJ5Oasznkr +73Ii+yn7rhVrU7eTsALPGW5D69QycCzntDIThEmR02kOFbFp0bY57k3+otfWuVrzVT2WrLWGfbau +bPQRlMxZJLNtGN4zejQzlYdgzw1c+yUCo7l/EovfckN+e06Xs4Kam2sKTAIbaoQSgzIbL9QyWsXG +kg48FFTrynoL2MyL8RRuizXAanXX/klGudd+A7RuPw5Gx8Ml++OcDAkFE0E0J0l5EuSFxaOnTumM +eRZT8ijEAA21tQEXE4yJD7O99e79Nug8Wcl3hqsBjmagJUa7ycZ4T+7qF3pPoQdgUl40IvZX2ern +lQypCzxIHAjeWNjLa+uQAAELYB1zUKDCHI2IHyX+enE/xCCTR+6jyvdGLb8SncrLdPo9X6AJi6Ly +3SeXTzRQ0CnqW6rcgzZnhCXo8fD5T+lrKzP8atJypPvR2pSNxerWytc00aXeT4UYFg0jvIygOx5b +JFkxjZnO5hkXwisCFpKKXbTdllkIpyGQevW6RgaXqGor04CKH+Y2UPCkDrEH5FEHF0MnrzjBqTX5 +fh7vBKtvrxNzjsM4lARhDMnHcvW37vhwOtbdTP/0PlTIEGcNsGwXYPRVaVAfxP2BFEjChcct48Rq +5686F5H/bUkWfL38OwuM11XvKI6KrW4zoFSVS3gbRRaT1keTpTYr97vrDrQ8eSabWl6v/RuHhl6i +tqVfuGJpWPndVgkXI0x3S7PGSFV5gq5vzv7rabn6gEXzD3kMxQKZwyJUaeTZOrMyT3hVf5ObHd58 +l4c0YBEB2yvTQXP6E0z1nOrGxMKXdq4ZdBspOyPKBV7jLCLtfusbxjzK0T2N6NVloy7UoW/4LDmm +XHnsnxE03XUu/AaPOStd0by3bR/4QXNHhfXPrh1t4nLJzB3ys6WUo0v+QMkhNt4aMrhCnwDnI919 +7ETF8CTIwKpx37MkMxpWxSl/y3K9XpJJmjLlIkQ4MLLy8Jtur+G/84bp0acMhaNVhQMqgcFjhd8V +ycoHrXuEyrlIN9ICkIq+UDKi4WVPcDbXrl0OC5/HHw1161Ko2wjiwGgoExcagrgJ12h7kTT/AyEZ +FBHNnhVG3IMoeuG6hq3/0JrlOnqX4wDewfFEd25GWl4hn66N8DmWovJIzv+vxuSuJg6wOBdJLoSK +ru64oHw6PmlwDxv3MO70+hSUM+aBJXiVzD43kXHwU3ureMpycFjvbl7Ld1EXbCGsB9Clm4sNEfH6 ++sjDjbNv30jfbdTCXrVAgBxbMxLcvA/ifbuy8hjiCFn85W4MFrOYYCUMglI6U0aH1f/Jp2347vg+ +c8r9Xs9RLVqNExx9CpM23/i2ealTXxFoaC+/J+1WWIN8MuyJ0KDxw8ToVP4Fp8vdZChWLoYfbQ65 +VRsfqoKzttxm86Q82of8FvtkbCeqqhZiJMMoDiLndGRYtl3KsbhcobiYo4EBhvinVW9NkP8mGm3k +Zs9+THvnvZ8I0W3UHCd8qNowH/7srwGmVZCPGefuUwwW9YUlssFfNLQnsi6rIQE/DERRiB8YDK2x +eNjhGqhQI8xQ3Hw16fbfzQsGiOhOs4kqx7izG5glteMh1TNaB7Rp4MNRcDhfgHhMtT9T0KmvKUfZ +OU9xufkozNvCa5KJ38V973QJ/LV3+MXJWaM/vAosPckz9l7kY1NHC4D541fTkY3+R6JyWhFwTGt7 +Q6arcpVDKMnvkBXuK4hM80C+lRDPHIJKIbDEPDVutvefl9+UhYOdYUNKUOfRUG4PJPs12TjRjeM3 +AsMD29uTAnhxp80E4MvkYkQOqhTVjc1rh0A90AfpY77VWJjMVsIQM5Bo9ervPl6BUWtybmJ11q2E +CEVXwUk1gqMEh/AWb6tpvY2sbdDJHa2jKZsr3esAR2t0JIg71RaFNS41LgF9a79F8GbG5nsPuz/l +dYJFXzFHFEtVTMCNZgm+kiyu0moQZC8kw60ZX/topUPzb2QVITlcbL/yE8VCEaZ3Ru1Er4lz5AlA +8qEFxCb4+JG2QAJqj25GmysEXoY2yQBaAAx7wJVFZ+42x//4LayZrIhTYYP4fo8y0wEZMly5EGNh +yhNO2JVql+UcSaW7FTRuubP425EonnXz/gtc0y66x1Azgaqwdej6XnSYBkUrt5sgKJDVtkHsFSmd +Enon1Bba56vmhe5dt29joae2W+X3RQRuH36Iv88BFi7Na5bhV9gHqTFh2lwaH74bmYFyz1uWeRwH +WswUfETsEfRYfNCcLfqdpqNH5HWFcqCToFqKXJIsUb/Z+3DGt+WIymbakQ3b1EK30PQi48IiS7uI +F/aVLzMOuAhfs21yYTXkkEDLB08dBUmDtRV7m5DSdmRbdYz1dTxn8f5zt6xtYW1MVO82o1xtr796 +YQisFX4tyc0queKAacRV+GU/UwkRch4Fl3VMu8KE+3gKGqWHk9oQqVAjDfyvPIbc3LVtPV0D0fM2 +XhQjTxEFaQM5t/fPf7FNW7LAl5r0mqKkp8DlMmXpKKKNLfGrdF2tVltdrXxtZC6KTAAmTse90pEb +zkoymc0mC9aGDGPsDT0ufTtZKvyQAdQQO6/Y/kd5Ltu2Ym9qug4H4QMc0+xVRtMwioV77HiNdFgw +tBMwc+AZ+rP/01HnFIs6EMeogRh3rVzoBKLiabq+ZqrKDvkdOrnXtRbAIODJWruLWcAT0Xqv9FcC +wghTWHPNwkmXZiGAwBezMEAJI+dMUSz3dax8lhv9bf7Nj1KN8S8jzbPJ1i3A2GaKLSW7KLF0L0y8 +NMUYu9FZfynjhOYoy9Fhx9DR2IVucZZHPa2J4lrUzBVjhWQVMDigqIjsSikYX9z/+Z90w6czkct0 +J/8X+ygYhqzq8AK1nMqRL6lHne1b39xTQNR+KFoHs9HF49fhG1isP3MEqXFhwo/f12MWUd3l0z/a +J7DCEOmfaGwq7kGKsfyWa0ujPIUvD61jBtGGap3h2YlqyeiXMEtoNIypZS4V+Gwujr2Mdudj2hBS +Sar7LW/5c+oWTYhZprusXqGXHvFSt2pZcmuerx6Ms+RU58oIb73i5GV1Js8aKwhOg8Fe2S0j+H4O +yV7y4uvoMEhNCA1Lx6SeWLyiU/QEcax5s8Aok4YKBvk5kVMKPo8oHF5zoSXi+FUirvZXOhyOvo8W +XPFhzj8EzCvy2IuaiKyHhFGo0Z5I8phzFtBFHhnmJEi2n6/NOTfeJYss4zbulMG7uOuPK+1F7W64 +eWc6SiG5hxAHHhqwOIAEPMh1xH3eGHdh42x+cVynX7mZ9wk/SjDTdC07pG6GvfNF1Y/MmX+Awfi5 +XyGxguyEDZYGcrDJAvMDiPKV2rtsTPq13Fzxro9sPWngeqpQJdFK7Lck2m7xk1FhNjIzuXTO1N6X +zfgS2bMDsOKh0DWg4XHKFL20Bg8CliRLJygqqJn9x/TlH/mqRGfQ6gfnHwJieIY+rqb+WgDUjbNG +T2vM+tNeC5jp7r+vIkAuBtNhQjk7WUWLbKrain6/INdjA55fbB7yjQZz6SUqoufiqFf6EHnrR00Z +AzuaYbpHLiniIbzYUkkmRFHIhjFVBZPBHlHohtz7w4sYMS7E1KwIYOlTjATyDI9hX0Ab1TnJ3K/N +O01CEzwQoPbQlCi8nd6928exXy+lAfwzWp103mlIH1xviBcgM5/cDWFrL23kROKk3kvNokDg8KwV +KH96VN4+lKsk2QlyXO1QUUOnn7vtUnUaTA3InOYyfNy95UVB24v3nFb5CHNyTse8DCBHM8j9N3bz +iuFQ8a+fgqDg9jwglEysE15Wq5EKVVlUHzBR0z+uG033MqEE/P8JuH8xRBOqSV9w6M4iTfoYNEpE ++RZShpnUvA9JDckG6K4Npq75/k9tPGztYvYp+HCHh775RCiho6zM3+BF/2lDL960bplB5kIETfI8 +JsD0PDS56AwHgMb1dMfkdUlP5BUNsbE5J34UzF3+qUpixRoDbmQ1G8iPJ598oib20Y7ggEAt/De2 +C23CjXyYJgQH/53jzzkDDv2CaPAFw/Tg14t90VlDcLXQvzQG0+KrSART9tPs+VpA4HlsSsOEo80l +hVUv27+uclsy7VyQVCM/j3lFJIJ2lEE5FzZGb/dHKVJdPy0AcOpe4J8RNLPWxJpvB0Xp4C7YDkUB +991wzv4o6LyByg9Y4BVal0vWSYhQEzwdhGOS49ZAvj0U1Uvo3aLot8Kjsl1dBv2lrt3bVuF9Yi9J +9HhnjmnaWVevnQ1rulamlUK8kJWBg3QTPVQ+gBaK+irkCwvqiAu3to2wqb/xIo4l8Yk1vu/JRDM0 +m+1IYbeYWe+gW+6Lb8MGAqML6zCYZLu9sPV8MYAc9xMHOtJodApG3h34r1M36jsfe8LBLdOWi4RH +qUu37zyN/Zm7m5ZbWHHW55Mv7sIiPhDkkJEPV4P8AnGo/pUN5ik6OO7eedsxGzlYPh0y7WT9UJIz +fyJ/cQO9gkPm6EQBc0AvmG0/B1PTS5bWtqB+A11ayTTUXCYJV8wQ97GeEL7X+q7ldzNpkW7wNhKL +AQRyQdZP1NbIBWQ81cyWVt9hdRB8tXqkINyLxXHjp+lBT4fmzGKs2ZVocanZ3rPVZ4qHcvtoWcvv +fOfu6JeLPhIx3X7c26lz8mgdBX45HQ8LSOHHqiMI0bZXk89Ejoi2Hy5rtsXR/m5UvyPeIpj8bjbu +kD26qKaA6Z60Ccb+7+ImqEeuoDH75UztZechS3A2RJdAh2xkGwKeV9b9lkHNzdQdUHDXGp2yBAms +q7J4DW86u6yvvNu0PoSSS9E6Ty76gcpM3333Yp3pO2dzgCsopshi8KwumhupVv8R00z97BtFloDV ++JtwQ+MWJuCOlSBh77zdiAfcVfEdvidTdu9Bq1oL9+KdAYDq1gPgagxZ9Tch2GVjj5rjeUjc++xf +zcSjzwuZuX11KtjtyL34jrXqXcO54fWHbJly2y4fktaaGms6iHi2mS0CGOcr8wg2j+69cYNRBPyw +PSnIzPv5FEreIJF69WY3ULtJJIi/PJCaZtQztCUwd0aq0zUnIZaaS15unD7KJhDhWLo42JPGVv26 +mum1FRYYrKG7o8WUjgZaQteYpVJd9oAe6TvbZAWt2KT3z8kOjCzWsnbooRzvCSDiyTSg1noOVypY +yPHhzjTO/Obbcf0yvTfQo0j4G72Y/jvvrI2I7CnG4LCMB2V3eobIpMPs79y5EauXSFqS7xNh/O4s +TUkiv5kp7twUZ1a95Y5tVsS6DkbauZCNiJXVaei+UB6WaSXLiK2HarPD5oCQLzDUxZJVFT7faCaY +iNcBoAxqvalN1Zvh6T3AFm/HcfDQYAuDwUg3LLpMmBB2fAJh7R9g+9swYehnNKMbfOezSJVH2shv ++WhlB0SCrlrbKY6HCT1jrPZDFrkMltZZp1Z6osXuG/0IIVha6MBdXtgd8/J8IlrObFKi38PC4/tr +JzCIoaH2tEsoA4bi4F34vfUVOETEmhkLHnVQ4WbPc6EnmWCo5elLs0UEsqq1C9R5HmBiRMLccfVa +V+AaOqkQWbe/J6V21Qc4i+2LhEpbwNaOh3eC+q1PD5jrZjf2wk6A+Xvhuw4TIqystJ+W58fiHbJ6 +8pfNkAgamw0ek+I+jEoQHQUiigN/1Czyb+HwKrYDXF/PRzRWjabbGbrx1ybhETsGiNguLQ3Rb8Fx +hTmJqoBTv57oH0FRuuY12m+Ki+lhXl4g2pI8oXqFV1g81eSRb42LvnZAeN67jbH0eZPUENDnT8pt +ubLSg4DxMOokLemlUsZwL8/GZsy16jZ92S9h9tmYkwflMihwJeoW0uXwuDny7vRDvMLnYJkrhNig +P720x6UyTs7dmkY01zYWLk6HrIUMUsBtcxRmhxopPsX1E7lq6NH5W9zrn/7IdMeJP8MISx/LTLSY +memJpLea/5rFEZL0SOP7Zkixxmc4YfZ2QxfIbEAHGvIqsa0teO0SvwaAkQIrmX5ZK9pXRTi+LKGB +9D4XiDCe6Nuf7qfKHvdp0prSmxasxJm6DWhcsqX/bb/7qAMcWgGd4qflSR4XW+oUYaKbb8yAcT7z +4FOkgmdRwp6tl4LB/xdj9IFdeJSoRjqwcEMDvPmonzhUn6v+FpdMIvwPeV5HWJL+D9OTFhtONCKu +ZeltqipNErIukCPiOmtteWgqV9kIvoPbXRMx8uzdN1sM7cIDOJf4VLDyzL9uXgVJmfpPmS9thLcH +q+/4s27qH0fEn10mCPo3YR4MqST0I+v86Xxu/KQrxasFV7A8EnTBH98rcIDn4hOCCSzZmyPKQA/n +5Gj+Fkf0gx2lwj5rTOaNGuXuNKdXRhx8VRbuR2nKQgUCBwJheGRr4jM314R0ps8T/lg0cF6fugAQ +khGdehRFdlOoM/CrKIA0VKWtPPI5RrcRa+PLQR/WkyaszN1u+/WV/IyLbpNrLWbcPUJyBzRzhvQj +ODzxCO0cWC5osDfWYzxmZRqMytqQxVE0fI/D7Cdtos/DrCRup1Yu4buupPBpY+yRJcVmJb1ewQkR +M+gq0xLyiLnKsnT650VUK7vGLaqpatOat1wbdMoZIHdqeifL/Dei+DsRBo+cQnnt3x93Mac93Nn8 +haGztgHRpnFcUvgrX49XS3LZn6PGHzASEGUxh7e6Wj/36yTT9YbdDhSvNLnT32nndkY6b0BxTFh1 +vBf7S1jqNhxFN0RvTgTKX+SP6OiYLylKH49DC+cT7Qd0gLnYudfjoVpwAR3o7OT8xz9BWm4ePPpw +g7Jm3womwy8VefPZclN2BrPdxLZzRUJZFVlID9FHqV9Mdl/hqMtOT+C+ut0V1w0WqGVatsdKNvqN +fCONcs/JCVWfr5aAFV+WY3E0/ZFIxlPHTw1dDuRX7oz2/N1shrFQo8I8DEd7fY4n4rKJQBduIn6P +fP5VEjbg8vypl8dNlyWtlYHbxS8GEL3Pa4IOO4tXQsQbb828FB56FfXRp4v543tldFAtvrz8maEV +C9ceb+T0avtUzuOhSdZzdKwXT6sANKdlm3NAefNSSd/6rAvyRUsRP4fgo9YkRUZlvUMKRR8hj6Yc +FFO2FXGM8YS0mKUZMmR+zN4o6LdZExgZlktljQpkwmn2vRzTwKwc/ac4SRPpUSbyPTGS2gafHjNx +/aWugf/NanPhgDPbDSJLAV4G4C7rAu76O7Ta+pkQ/cUK0ubAGT+UHtIu0SQQmFju2LlAHrWZsFuO +Mwecf+PS0r/F2HCiDHu3GtU96kP8j47UDh3qSwfDWnKXShXfj8YxRBqG29FNgxi0gRbW5HWvQufD +OhRUgPQ4pD95h68zagTy8OlT3B/A75D1VHFoVzzbw0GjV2S5vb2joFYw8oQreeEXTJAiJ674b7qT +Wsv6h/F+Svf/KYA+YN95Lc0ZELDMVpvp1gnnRAVfNP6oPr2NmFXMh6hlqDWo3R5uNbyyZi2TWvxG +3nDf97rb6hq6cNDV/AIzu+50wN2p/5OoWSMDe/yTEyEk5VLPqRd92rdA+KjgcgOkcZzlmW2wHxfX +iAsHD7auGZ9YnYi+lj3bwjbRR0YntK3I4RqSSyh5pNfJ4xTE0tv5xB+nHIbw9zAbF5hhoB3ZzyEg +dhUp09BPITnWGDC3ChyA1Iqit6KC/KzHzidk8os7E9kdeyhrZjxHBy/HD3ClNsSH0OyoBomZekac +R6XUqm8M+pXaTo9sxtgqt0+KOOspQBudNve/rHNyIKovN4cKuI+2aJQzUww8sBTiK5gZhA1DOSr4 +RjbofKRdTExSMTRIqgLQ/arQTuziaDDGDmEItIIekiDhzf6HT4yRMf6K9+nQz3omWu3FuHQLh/S9 +FosYCw/31PSr8z3DAqHmK8EW4xBjozWe3QtX4H6OkrjZL4Tt/bW9HRwXzS96wP/HCCx959yX3Anr +nGejNdJsrIOiYTSugfgpICrW59FKqcD6UTGHnnGEfzGNWXpC2U8S9FJVK+1k3JWAGSE32GFyFSFC +Br/f+EEhbOTC/ygcLPAaFki8Y+8A2hotT86U8upi63FeEk0nnIxW2vzli4FrntYyeWcmlGZzlewJ +yr6eLI20OsLNMwSVh453hT7E2Yhr3uNN92b+ZEitufS6bKWEz6DpZa1oUUttDe/1TRs1Ye/N1yiL +Mwc3N5XxDrrvOC0tFFpvJJT+o90Syx1DGgyTZHnX0/uWxW6yMO/kFyMefCAXXRGq9LyK84Y/t5MQ +3fIbwUYn1Zi/7939Uttg7ol57u7+e1KktnPbfQ7rztogs5mjKb5CEw8e5cd/siTb6Fndlp4AkuJy +0TVNFnvp9TtEAdNeQZ1u2mM5LEhwjdcWGyQ7RZCgzWVkDOG3G9tp80ilHCVkj/gajVcaZimqEOPI +tnLzntMFE42AInkZungc8I4bo+sDOxbTa9I2UOZKbvaFi75QWYOFSJMF8hLM3RqU43AmjmzcAGf5 +OwY+FknAT0pcryKLNuSLM/KiuF0/gwSsFUxWTIiuN2nzDSJznF5tl9RVmbGq4t0Q+lhh948/3uyu +Uq/A4aVi5UTQDKxIlYjJS7wA78AuHxFjXSphDF/mjq3tH4ZSjU8Zz3FLVo8/tdDv/N/n33G7GUhR +5Bt6+VI4r99irE4Nhto1vlrN6wP8LZZVghMGlwNq3PvTfQRpZ7nX+meNN/FHnDsJsbqZayYZVc+n ++leUEh0kJnBc1e4tzCTLNsL3j78nfbQF0j5adBG4G8z1BzTw/zXhKVA223ACs+nt+Ljxgtqq18lS +IZYo764ZcvqsNmLwkD4Kxh1vGYU9EXvGHBuCXOtNNa2iK664QlpsKKA3RoyHfG1Scbk5FeCUm03Y +TQDSL3OK4zNLwQEU2Uqn1TKmBmUzBzIjpepygrWunWKQ2dFXBBG5ME/sEWXROssS1ojeTISlNC32 +Rozr3T1xQAznsA11571lXit1oiSQUqd5xr/9t4wXfAWk6PDJuxTw1FGF/64ANrI5bNmA22v/BS7b +kmZvZ1XKWkydk8Dwc18Jwj0ss+uglVlGDIAYPDkKWV8PgS1Cz1s0b6PFQwejWCNnYE54oyqhu5BQ +Yh8IPZCvpJDhfdkF63oJPboupkkYBTo4bJn4qFtQS4KNYED2E+vgvPnJNHcxRwDKElHXQKoJ6Hal +VQ3QgDbYZI6qQ3/pZ3M0zYkwPmP1PmyV8jeSdgWym1IZbsw952/J40VPMTpIGCTjfhADSH5RbOO2 +Zv78MPlEw4/UGsRIxZ1izhkZ/kj88kKYgqpAchqsMbzgL8bZKeTyE+8iUh7Qsge6TC6A8UHH0ABl +ZsO09CQ5M1nQ0trvHkd7d09Qtn8mpHxqa+pvWD4+Cdvt9Lm4/Q0qzTuUWuOfyyoh1B/iak7AgogW +q7hqhOlh/YQUNVBqAXR+KiOU6uhwD43jcv55Ir/SxZ3u8XZD/hWKjwZ871cElAZ3MA4ObVUQpg5Z +zHvXrfJ5lNYvFXP3jK5Kx4GXLTYqlvx9Nzb1674U/X29DXJzCFklnPjlMUsJxBKco3bGpDzWwPnN +RnVLJLtraYTMX9hJy1IAlCDPWu9WaDBmAupILJhpDnOiRA1wGccZORwEp8x2kcYRUGjiRrYGKWrx +0JVDy2Ju84dxZUeTlhUKc9e/56FaQBfaxRL6gJFSRZXMMx4zFbnNq+5A7jwq+x/4uL9YhIbuhQMq +IuPKB6xK4ZpFyl9+c8u470VUFgpg5Gws3G+2p08MJwhAJ5OM99XE5/ejzZqY7hSw13AqNob89Ajx +E+rNnEpDbQWVwOLdiopog9kFAPdjNcfJ9hNSeU71XVDPYHOsmkuY8Ibd78UO+eQsK2dtjucWkoli +CSNzTFRYHEEvk7b+AJwwrC19pCK0W+1chv8PkieCkD2m8GGW8ZZeqg6H0598l9geg9+4jfZm7m1y +R5r6g38ilRkqR4rl3lUHSCrkKO9g5zoNRkGm/wub0Rvl6FV/gY+3uLFgiETgJvDUIDVy8lQprEhZ +PkFN9RHyPDEcn4nRwEHeukiVbT2MqZ/S9CHme7PxzRxSZhxDL+JsShffMitwDfBYdxV7REcpGRix +mKVI8f++E6MJy1KbX6buagZGIlgFhGJuNXY+DNJCV8ksm1e2tFotP/0VG49u+V0ihaihz9uKexrH +JiWKjlFj+sjOT7va1XzGfy1iUfHHMR1zoMGY29KuQ0sT8cfMyZzzSLpelUcM6HiZHwzPpEEPzg9+ +o+AsejyjUsRJlfoIg6wFJ3KD2hEKpCR8B++S47hqnGlv3IvHp3hxDQA1SzfO/LGvdAdT5kElnHxd +zVCn/kTa4Ch0CiSMcCShNqjOuFQBVh5mcAwrIguGB/6/VXUAEv13owJrm/oxcsXqiHRrwlZZdcm3 +LQKgQ701oBL1/bKuiAroWmIof2KJoAfYxcFTHrHzdQs2nSRWkqcLZDRFc1XCkgdO58INwufTdkSR +PtRKyPrVWS6KVwGKre7mwJnlHddT0xDEI64zaaeOgeDkNxrxwtmqFJMLLJ343MOIqEktHO2RNtxO +FV4mnz9SWIb5OYTx2FUA6+v2oecqFLD9Cy+f3CYQaMoFV5QURO/94Kr53fnUTliiSVfnWDoCKVAN +IZZz4KFFZfFVoWmBTNtb6D5qLF5SxrB71Fd7gNnRyKKH9dcGvYmhsNqM1WF3lHLIrwswFmZXuR9F +H6vkhs6r8H9Pj/M9MjMJrEhg+0oVQIJ+iarrcjIIPUoo/LkSxet2MNYwopJivJQxb27EWl1klXYh +d4tcKjfQ0+vI/S9T9dDB9L9PQYF+EdTSzGibwSa90ngznMdEGMcfkOBPBRhU+AZ9AiE9tNFzc/Rs +X+Pm9O1C1/dyRXjdaH6XgpYSjVrLwE6lLaMa6vAIkWTciCY1lhH6mH7MDDgxXwEtxjlsTIggmzAA +9hyIUM/vpb5EOcRl0nmu266/yYQ9cSzyz/tamMfBettjf5nRlCrYd0drYHjDWk7srGIZuBbIAWUj +Ed1KOY8lpcDF4few+m2x7OcWCvytSC5TP7KWuDtSpLEaYghinp/HI+2LGu26WXVDnWFpcULk5tKG +4O1HTALfmlOHd3Hb+MTX3NxsvFmLarQl6g2slV8HRTCNDuv2+nkx8dGIHs/5vL/pQeccPgDnR4gF +dG9X979VgCxy7B8wn/PLHF2p0vt3YHwEQZ5PZpItkLy5DDS0cJhPz9TNpV1eN07p8LPDMVwJjG9D +BiGPiGLhddaEKpljaGORTIQkGXy8SRRamm3g60VRLEhYsqdvl1ZeFMU+F/XoxXkGvMLzGIKY3/In +18acwadBWjjL1vmJr29t+z0hJdai0ncF2465JqlabcWWrK3NFpsM5u1+jPfhDwlQ3kkJgUHzknGc +Hn+0HtDAVFqvPHdBo0izsQWjosi1ljaEu5EznAaKcMfxcu+1nfjUaTV8TLmoDb5xKsNhPbHMDlyW +oEoC7rzbS6WCjNoeZU7F92Kk7IHIp9//PyFjkcS5o4p3yw36OZ/RwpH3iRZCYQ0OuUtDkqVEtfJL +NqALnLsBHuIKuW5LOEgWamfQ1kCHdLNknhsvU9sxvOUyuApiXfXqhxyFDYNVpoz3QUcUJXh6p4aP +QWnV+tuipWrFi5xzvVs2iIDL7enULYJMRWmc8xmriZUlH5nxinkyMcQ26rfgT0i9u1YT9eXWsSC/ +Zzp+7yn5YBvKTvtp2p7ZMB32H6ywTE9iIKMQm6EZJkltsZL/drkE4q0rY7ylquARPXDB4cgJQAJm +vVqZLTKpMKnoj+3kVM8+kG4hy0PBcDfz3ywMfWvom2hdwyj7WXC9rKtZpB4G5MdBDQggLLjpMRaA +6oPCsWFXAsseIErGIEqxDWj2dkkBrysRGKSWKcIztC+444W2xgQDvdTPyzfw38lw861BEz1Ainoq +XH/ccJj/Vp287kzq82YESzDSIYMQodQEdsyrmtSFnmVHBpI0NrAeiaWSNLKjoTt0LqXHrU/s8Ngm +i1kxP8el5sveBktz2nSxopwAh8OUDbm0qKIi/sWNQN/sK5ORVomAluO+u8acgpFMrRERAhkWHM3Q +cevBNaYI873ed9iIEJtsMr0U6f6XNxVteD6GcuA0K+TL70ceOY4pWdnihn8WlAUzEvx+ZgK1sAti +LqCjdLiBAnLSBzClnYRlnfDIfaTuk/yXYWa8OYj6DJfzZ+n9PBqCG+TqobfS8M4Z7ME7wuxE2jAM +2JAiWqfOLPIAjZh830pHGju6cbqEZXDWj3+3oRvg6holaOLZnPDbdv6+NzVdo+BNaxgxLyXrH52Q +iEehf24OSbr8hAu6mMQ5AX2Pg/Wq0euY1DCtnZP3k2JjOq3pVxW5S/mRhXYtxQt9luKmecCtpB15 +CYXT9AWB0AvZ18+v2PKwNebDMUaKSK7vL59ifau30I7Co3TIc749JWOiz19Vo39lmNXnDn93U+iN +ICaG5Kr36CdAnA0zMLiOohdOl26MvIxuCdMazHZ08CyUJMRwAB1J5XxjZ105Ek/gGZDVFsPEDCZ0 +kaKlAHsI/Ulutnl+1F+SHqvXsH9AiTZbsKQRHgd9Fjl43YloTYiUQ6LGhfUtFOsUsp2yl9E2rxk5 +5Plg8qWMwPfGfOoZfqg0E5LHRbjk1hTjjacs2TTYgcUxJIWj8hE165XdIm4GFX4pKRlfAGNPNfWm +1feY0V46YV+m7SbIYwvBv2L4Pa/hq07dWb4dV7uHNt7fdRZZ/PC8QzD4R2YFwx97zYTCPDRve53t +PxFDppDDwjbERSENaFoNj5n9T+K3y359O9Ve7LUzXC7ZFx/+6B+3YnBDPvEAsyHDLfbpr0VAzn2G +wKG9dI3FTWI81wPisLTPENEvQCxjaFnGPDyYnHimvr4OT2Mkn21W/LlgchUbikzUxglOVX3BtKMe +Uu2JJ7iusj9fDjIIz0XMuxHBaOqLUAz0DHtLv/dvfHDKRlJpYx7C+2SR606hhaNoLO+PEPIyaC9Z +ZQLh2KrICiT7balQOrUF/t+gcF2EeHPyy9Pc+oEd6/DqAQ0UrorX19mf9M9bdmfEapBHe/XBAczW +WW7QqWemaQLH4yaufJF88qfij/KrFlQ4Dmp3DQQNu7Ol8hOy++I7ootFZNpcMpAb9J3OF5aauef1 +YAKemrq5ByUzboS/t+25UB7hezdQsHoN6WYKCU27gwJ8O/+ThHUUV3Jku6mxOfEEpuE8zsQOMoJA +5eaO1+5Mf6EcJ4Ejx7UiADn9g10Vq5K2mj+yf6A2DGzP3jFhsZlLouziQ7aU0dt8bBlrlnBS9cLk +2aoy+0o0Bti48lSrCxZ5D2T0EsnrHLF27yuR97nNgq34W1+0sMSwSNOBOFR+vnm8ci0YL18i+xdt +FW5lWwJtP+NVNiVey71eaJ/5u2nGsOhyaKFwQjVbJ5VeYIZJqKrsnywfwNajsfm1Ncs9bTr1LaT9 +wHzSglVR3M9E/wwmN4q2sRZM7NtbJs4twCO6pLmtipZ1ltXVXn+QxG/Zh6MoPV+OewtUgxyChrIP +I+Aicn2YfPslccqj+DtaWpQcguJ7oo73a4E39C2uztTPGR/jGxFCiEs4qvG491+t2qwTSnd8g40W +x04qIm6LPFnQE7O4FzL4KgUpYse4N8rLEKhkAmSLYebMcd+evJIkYQgSXnXFyas/+GntDaq/mZh3 +ffmIlrseuYJvE67OBMAAPDx2NATJUXpKxvRp1bDVJt0sYiz/yMrx+qyfiT8IBOJz4VEkxa7yCUnz +8VCd/J/27GXxGWNasf98rtSvvKFCuAA0jlunHSx/jVHIDIP+dv54ZACPSQ3GzVf6r+TXIKTd/Dvb +8NYF81e8WfaLmVoUtEUYrDVfbJ1O5sJ6rSO+ZpnNDJIFeS3U890Y/+Xcri2GiFidh6AjlM34OOdx +WFdAGLQ8/z2IRkm/nR7GXNnJQXGQ513/ruhA4oOqLROYzQvh5pSm1quce6Y/BoNh9e8E81YGPJmT +db2zlSYw/T7xhB7FMWQxJNuorp4HH0KUp7h3vtsiAnqfrq85Jsid7+LH0dsMl8lTSpjJ2SWAihoW +jZmgJ93ClmOSfP3XItslwt0NzOQ+BLUKmIAEZ/+wfKGJnOm2N3oBNalgak5uDAMvQkD+Mu1aVZWR +HLPAbl4IeJJDp60/Zjl1Zrn7kqY7bOqgi+UvyDSzHwdQmE8YZlF/NETE9Db5/M1+U/2wEzvxQjrT +4HBIwZUXtn0xrNS9VCP9jLfMZQDIhh6jpMf6TNZa4w4rwGNApq9xdZ4jTIodSVEE1fCW+sMP0HGP +TCeKOiVqXI1J0XpSS3IY6Q9b1xzU2ps9DR+CyWhVG/VHVgxH+0qdlw7OniqWYksgyHBL3uyCRirq +xxPJ/cC5X8q4Q+njQAuEyDqFliYFuLLpcPXm7taXjPraArttCE05tELEvnonG+/2s0ZUioVHE+AU +ISYiA9S5e8ItXI2Fo6lKzXwdu1TCF1OYBa78CS3jWgWkH/zV/kK8m2haN0CQ1pgm627rRCLrs7qH +7JQHcS/TBl5AHkFrLvyq5BqOPOBFHsTjLZ6JX/VYDxHHUy/aH82y7BmatgvzqYikhbSgEWGM9f+f +MMvYW39o3iDJlLsgCNdxmoMbA6wDfbASt7u1HYeIteiZM7ULkNxJHCdxb8wYxXmQNCsn69lEzK/b +aqpTMRdwbCZDdJLjZ7s3pD2puNT/hX2/YL6CmppFnGa7cHIvsMWpngChKZ0dd6qvHcFptdYhkjQ2 +I+Y+2sOLqSFQ6vex7h/Dcw4Gtv4evMgV1lF5sQcgjjBKtmmJTOJkqu8MUGSVgMGLmXJxBKZ2aqXd +KY1wUodVrDlbg4kxeNk6zOfWTjGZo9SILuKCMoPKAIL79GBnt5HBG3/KXphN5VBtkGuLKFG1n+LR +gte2Pxc2HAt0VaMFUHjtu01ULRVb+32Da/ay8wSyz6HDXjzdTFOS35osgyXaa3uWXSG6SmQfZkLR +IlvLv6o5mjtos4E8Dj3GkGFHI9O7PxBmE/opEclaxsH7VHRKV+6320TDzY55xWTOVDkLq0CMzve3 +GVPKT0SYz1hQFLvshYzZhIJu+ecEm+s1SL3v0DF0nybOp6bNrOoNmb0fsseK1RB+0CuScJnzAhv3 +BwMPswoGwb6TV4wnFMn/EbZKOpNqYtGw5qTAt7zxGZaZVHMXnXbnJJjE95rxlTI6CZTxtD1YKhkS +Yqb1MZAXV/9b6Ve7J+y4rWIWXfoDXh2oA32X5rz2Nq8gkeEtrCQT+u+/TSAnXAmcBcRkoDD+tlJT +BntzqdqAmlRxJYI07HhQmwlnSEeO0l+sr7OVEcOtlsmNo4jRorHPHzkVgC+H5N03tYk3um+J6wHC +1IhcqoZ+8fLIfA7XjgZ+jjuJvFxoqGEcX9c3KyDC5dYht6aCk2CcKwkZxZSnLiUuPocvfON2QTkO +hkUPATDU3zzKWqly9CKUk8q7dbC9i/wlUV28ISEaJxNGC0Yfkf0PDZEt4h74/0+mHDMFOmZrG0ZE +AszAbZI/5VVM1WNC4Zrtq5HrTcr0Ieh90nZmOA7hEfcej6V2v86fzl6zxu+l6kc5HOy8uzizhApS +ya2iPMhlCpd+/k555mHgtGwlVab1pB6jMK6nuQwHybdg9/t3L/k57rxtvnOl6k9hHcPm9usWFYNV +WYXmXRl/w0jmc3njr7UFmkrmV776b1iYuhNvHQlitvfQlnv12WYJH3SEhiWGKIEIsQ42Dsk/UH4w +KY8eIARCi9GS7p3usiFgvBFNMbnJYCoPkJOw+4pMbCHFzLxkupkDzPd7JxbQu/r5d01CaCPMa8lZ +17JIF4XtWDqjYEVSaLFCdhQRhaRrjdCy5dlU0ZG2USX0lCpQF1gdl6C4fG80YIOwF5YNRPJWldns +P6wRZfRfa2v75j0p0/GBY04/gG+Bq+GBXOgwuHQwTtoZqeBgo0cNFEQ63RS9XKCnjxslRj6Zvkfm +APHbRRmFH1lvDoX9lar/ZmLa+dXVkdzbjtHXygDoEo/f0Ma65joArkYvFgqc72lCNWJaa9AYxpB/ +m8HZPY3Zkn9Y8NvrfZFbcGsXSvZ3A+9Py0nIzyr02pl8u+g0MlGlWzWjoENz4t7IJ8CN/vveCgxB +4N5SdZvH/LQ61uiS2C3X5bjRlo5zdPgARQBcOX9BzNi8i5ylvIhLX3is4Xy6hFFQFpfLoniTnac4 +QlsmfBPHqTngEI/8TxLBNNYo7NQz3hHiqbZj8yhIacfszrjGd4UsdZ27VM6T67w5GGK5EiMXEwZm +o1Pz99NKZ0Yjwzw0ir03D3RHT/M9ZvDv1Ql1oC035bcdlaHIKyDjqls/gibqBFvKKKm7gfj4dngw +kOy0YmjI2yZ3O5ClSvIY2WvYpQDQQmZTr5WiMzOB2vYOzyFcFhleko5bUKgdfINpyYWQM/XS/v9Z +KRG48os2tezucw9dhzsFqz3f6rhlz4/Vy5j7x+q2yW2V51AY9i4URUtN4nDzyKOcRY57HtMS4sAZ +Eon5+sfqFa/a8RghWMaATLWeB0I9mGGbYtyL7PQIiEHwY8NX8ecM57695BVHrnaAsd4VxtqIkO+N +E6kc22lC/8bd1PCwb2pWRzFhEjZueRWvYYRrq+a/8vqqeFiiFZMsMgt9B6iHbcPFubM8j464+/Ib +MkZP9mBp4zh9IG6gPM+xTxkqJbBH3cDDOof52Gffis88ViH1RaSJUznUkrK62DJ/ZKKLdWCDYetN +c4HMYm8pJhaxp2cD7O0tY3NGElTbBr0z/WmhN3yVT0pWw9a1ArrNWer6Wv5mGLpkYcMC0nXQ62WM +dzVw0/w9fOM2tLoEIB39Q8Gg43EJOgidR5difZzk25ToLSVdWE7p4kzh9u9vopdtCSBE3FyTeiKJ +xhF8yw3HTlPvMYM1jmP69gaqgOYFTqxH95tudXahZNG4nLNbe7+6fZ/tYwN5JJRvXneo74x6RNSG +9D4jnvn9vTgccWbfZ+puBYZJj6a+/eM1xAvTc/rCstPI5JfdbsVx7jQ+fADxigQs6rjMNsaP5b9P +LYnrGkmr/TVIgsX4KvEP7+1xyyt0gnPiHPq10s+yYV7zBcV8R9+Fr3+I8WcFMKfm06ZVqojcbjzm +FF51BA+JHlA7DEbyFg7HaqFuEkVgJhnljbicDv8NXjSR5lbmfxWluBX2HRZ90b7hN95Jjx0hz3J2 +co4ej2lXgrGEWYvC3/Tr8yQlZji6rTEhnjXyqe/4Kb4iUJOiE33RTPj4a96oDn/8boqyBZwogEPZ +655vX6wsRwH4uhu4E2NlMRM90mrHYj+ZtzNzj5jqErZ9pTTbUrPalZyQSr+NJyOTJKQLdx55+X8R +DeOlcueWOyUKfce1mBnU1PJOrSjqQEr78wff/Upp46rKfO+3W3UFM3WCHvdedmDnzK9zQSyp0D9Q +rvuHMd2q04Bj99gDbE6stncThTtU52uvz8BFf0GbYP9v9nrueiUwWI3siUCE2cZHBfK3Yvevw5PM +Z/w0cKXczHh//MZixS1LN3BVEARkSRH1JHk66HVXrVfN3ePqJDYPhRlqpfjFoajRua+Xan9bB9Um +bwIHhEwmcFBu5gg+uxn5kOyMw/p8htP4WR+rtupelbhHaA4SSkC2RK+M2SjWh4Zi0B/g2PyXVbfJ +5y9gM7659wGI+2DECqzG2bBWC8Y5W47Fye32fsaYDpVviNtI7ARD7f8oigVL5Ugt6iG2KEzpD15T +NbNFGty1CZZ+45bII0jlOA524b8J7E9ltON4Rvqh/pLr1waURemtewJdAb8BG1OD55lJ2ECieleb +dDXQhCZkqBPUPePtcRvvnSLwh/v3sbzNPlZJU5YovAM7qG0/g5a1vsfOqtj7lX2RwoKhXqKyJjpn +TUfFcnek9MI1ulXXT56AqsTj+kkQZdYVh0RPG4d4HfCVMh7NrQ1Z8TP2WNffOkdyxT0/+05UJ/Hf +EKD5YzBsUw9Rv+bG3nkdjnspr4NjzbH0TfWOTrb2hPBAtTMlNiwWkF5bBb/BCnV6MnGTm0Cu/Hfp +XnpBYvxKJmFa0t2A1k3UPT60XevNXGr+KQmf9kSw5q0ZJRGUfxvD+3kpkRNBpBxLWRUO9GRSdbQz +LZOvwVuKipItqZT/qustrWFl9w1j6rMckrKYaFaMy9hb1DVLXP5+ZB7fKTmn07UMrHHkJ1v5x/QL +yAA/078zFj68bhLvPPRqv0zelKTVJZrvxfgReUT9ORoguXBB4u5S/horZDysXo6nqTknF6DDJ5FE +KSpq+WUe8Z0IA3pbqq8AaXiQ6uDpYeusb2bSjiBE7iLibHBhpW+RHAgC/YGsVlVvVnIaczcAdKsW +rsfI7IaKQztfB/AfmELWn5pSEcBU0iTrey4JV21497srU8vIAjgbdwL284rUjLBAM4U/ue5nuPs7 +PiobYzj58OJTJiTSp9j8VVMBADoL2iwd10VfV2Z6K2cAG5EJauXihv1tw/UhhhIOAVmDOUeNhm/J +9hF/ERGlCINxRLkiCVi4+cqDAfAIOoLpvB06HX8kgxQvjN4aZ0PCSUtV3tfY3+P3ZmCbGeUG7bfn +PmIr3pP/E9BimsbaEd3X0EBQ7O2kB3TvrBs3BcbMg3DQ4MLu0BGDaFG+sryVsRcN2TqkbBY6Wehg +uCw4GAZeYC4rig3EnO8emq9IViJgF0gxhw0NZx9hWUzRCBDzLjXyjuItuvTOh3zCR6eaYX+nEzeR +LCswvZaNmG4sSYMynQBdNLDXAtpoG1FXSGkDt/B471QmNw5yd4Oof+hQTDlEVmcBpT3byvsvLZwh +4LogYOaikuL5ejW7seK3nTWO1NZKhlL7Bc7oczrBxY3bwojfiI+sclEPX5d7+rYRsxyW4urHmCW1 +hMBPJ+mwi2pTAxT8x4GTWINeDIlKXeKCrVzl2r/sJOJ3RtA2+wI+5J3CZjGaYI85MENv4tDzINa/ +Z8oEloy1E4aV7nshU6tj6c3cwRcy87lNjGjsQXWDkp+8REdff2Nr2o0X+6nlOmLCzTTxoe1TYq2q +Kn6isKHg0iYNYhLQkY2PH1iW93pzo14Vx/p0rWhsXnK8VBuwgVc6xIXPE63/fC/CNSzKWfVXc4Tv +TJtIkiE2EzB83ORAgXaf85Y7tZ15PcgvQjtZnKG90seT6JGqnL6NV7XGDeGRZhpRkaWYI5knyGSc +CRcnNVSiJWEOzkk4F5Iq6JDc6vbUBFLw4SYa9Pc/dChKQVDhq6zCXoEI2pM0N3agx2SjC4CFfCrU +Cfi/nqjNbGm1ZZMknymgxDu1GOhgVcKg3mhVYB8JZT9c8GZl7xIEQOeQxaTt02tAYw5UKAtvrHB1 +PbLE60Hb3xoBFIK5StxC7Y1m/+jnBjgiT++jD3WElb2OoGfJrym2o0nzWfL22QJJUEhpje/eTJZI +6lPd7Pi+FVN1DE9u2w5v/PUMJSmAqkpZP5zay5Ql8YeDsVQksXNag5HyoKEe+D5zC/1X7C/mSuy0 +T9rVNkmT/extEUP5m8V6bY4oFcF3uc93Y9sH05ZnXrBUpghLK+aKMgUYBsRSPRV6/esNGJnxjIXZ +iX9470KLlvTTolxTdnHgT2zbsv6X50SUhxxwWlzb+WG6zKrMUO8MmTw19FgiXWeWlOe2HayKCY/g +puXcqENi4FFhVeG+tWLPker94XzK9nD2LLyydP/PF1SBv4UMdzBYYDrrSBEDXo67XZJ2pOfSpvoK +ojDbC6J8nDPRI+k9ufsE28tIGdiZyH3/gAMRVqdQmBM5H65kDSomj9s/NeV2nDa/j9AuxFQ3DlBE +rQcQo/UXvTcwJC7vgWmriRyjdx6HXfjm8AbHQpYblJ1X+bYTZZnKBC0YTkk2q5eJAH685H57CIsq +ePb6qQGph2QJhvYdImwsgl734TmpQHPejEMjAQ5wEPc5EuP/HAFHfbEJujKAaTYHeLPZ2Wl1S74d +1ioIh0HJpDheWr1j/BY0nAqTyNnFHqUdta8T+uT825qaomFnUgs8KOEAZAY6wT9Ab2GrSs2y2C8E +ophExtPh7sY75uPvXg9lrd/woljf9hH4lQYHjBrIh5sP8cd1v38E8g/Bb0bS3kaBNsYkV1IfrShw ++FNnew45eIdiTMufr26Wql/1GeonjWc0A0DP61XATkwkJSyQfr8wFjwr+LDr2KDeOI58XsuesGSv +dFZGZTVSAqfwE6wPtPCiFPNsb2qPKXW0QkEGEBAAEUraaJ5PfYif+P5VxfSdy8NlWdyEL66yELy7 +G0ucFVcUabpkCbXSmqCxbh/jyUzqyxOD4QBXcI1sUXqJfghE5WKZJvWo+axoopRUeIa21eRk7bvm +ZD0c6kNaU4LgLvM8odV704rgKt+XsqPt16YZ67l4UP0YO4pcklcUQay15meKDWytvO1mV9b/75YZ +BLQ00rn5lLibOByTgJetf1jINa30++arFPPWYxLo9VGb2l/mEW7zXOdnItFGdB/1p5PqMvvZ2CPg +BlVLVo2BpzjTedRbMKqGp1kSeHzoA13YyMnTRU794iOk/CrsrAZmL9oLfDI9QnmGR4e6iTGiVH52 +FIi4DNmy1gRYEqskoYYU1D+2GO3PCKxSl0tcsOKn2cUdu/h5bTQ41DV+nrWJzHB4eUh09UhyJ/BA +a9Ead0tUU0uL7OWYp1oUBC9/QPVL0FCCO4udsuLiuurJZ/8pKFhvBPyMrhL12KoFwnYN0Gw+Ga1R +9w+T5lyUHZXl0RKEZNz/ckraraYHeuJVMQnyrr4IaAk+V4kqk3qa1IgKnXLVtXuvdNAWyIzxcnsz +cgFRVT7SGQkoEQG9snpY0CcuwYi9cjrACYfaetCniFzK/ow5UIW0I3CXh3l8YfcdxBpukUzFxlmM +asdqJuv2EmhNRqQPAHj639QLt6bvWMoOCdqkXQ3kQ1rGY7M5DJYhVe5oeciHfkAmNfpoBGI7cFEY +qsFNxy84jGfx3DHnSLqYEW13BQsn7Ho/s153NpREA4rOcJCZ0gy25SIHBybtXvNvpZAlsSzVyuaY +MjNccCuyaUMycfaMQAOEGrg9vMT/FhQPS9JeLnnMPr2PLMJvQR2qoL9PolDkgHbS3ZGc1Q9U8BnT +u6BulJIh/FlQ8lCmWB1vtxyF6pA/Rip4GAIIwVYybr7oDxLam/01UjyfDrBVmrHpEUMASFGApy+y +D6pODPEKtN3dtDkhA8tqCMBzdbM2r1MMZcWLSSxpeM2xGUAz/ECGuw9kotZOSyu3f7Y/fdsauemy +CFDJ2tO5AlP9s2QOJevsnmzyOQRPP2zC9glaIA6efWEsC5bsVL88iyM7o7JPk+xCwCesonsaa0hn +X2f1CdRfIKeX112fGy8sUxyY4787VYqzGioUhWUkTMNq8GKxqSbmeFEl+H8W9UaBWIn8Nk2k0ZOP +knt0NsoFEIXDUA5LeQkd09LLV12bXD/oZHYd/GpNPRYhOfb/wevmZ0cLBn4RG0x1Kjs9Z6P5VgPx +JRbYNLY6VY5G+gXoE3CqyKLmkdnutIdGWxiznFcmjBP3wG8ivqEqO4ayPWioAFcz0d5qFOMuG5z7 +TBOD2dwhKqO6eUrAAhErx5dcKOyebVhzN6y6qBnmeFVZ4+fXCT6/123Ok+KZXOo0vYLqRWkxwam3 +Bu6wt0vXnmLi7KRjPmr9KSAmT6FRI+wxvOhosl+pb8RkvxYUlDOLshY+AyMivOu5knpaIJaivwwO +7/4wZ8uOUmG/E+B088n0p2ED1uTT56Ab/WqcGcyz+7a15OyWdJcB+9JSebKn3m+VLB6HdJTseE4g +CHXJayXmLgYCn9Jh3AAI6FAnKALbwOxB8Xm3Qgj/pSDU1UpSuS4kfLjNYEYBbVmI1ydTnzXyZk91 +onLtuJh1Sw2Du74oif/yh1oeYgRpGkqQi9JjiU5Dj0FjUw580BOWiV6RThmyyZdEXuAyOEX5bymV +kO7zNgfaulLlbrcZWIY1h5ifRN0CoSp8GIkMY9MTNKZ62sZ7MgwD/ODmCjK5QPIMxLUq5XZHZpOR +DOQO3rZuTsGhkOLRbY46cCKkIDENHOavgzgmckXbXYoO3wxJn4aUJhM0OcLfExgoJqKnQ8xdEFik +xaUryTFW5rAe3mvQDVTOQnjLtbnaOq7K6ciV+YLVlU0W0GduApnBf3onzemafRDBBzoOEytgypc1 +T3NvCzsJmO8E2Y7ZxqHxColTwrpbSVfeIqRoNjniiwgmRkIhn1q0uIEKd+wYTho2In5lt3DzgzPy +PDdF794TMu7UKxawQc6g6GQdfvKz8af4+oV5/GiVpinpgrXQ30dwnUW9iZwlQdzOeYHsUN2qC/YY +8cPDKaTo69kLqzLvSeFFTWQ6cy6dyTApTKcpltIdTGHNmAH9e4CgBO4pUTfbq/4+7eesxIZ8csHq +EPMgSt/vdlEByWdNNpZW3VRg5xlaygQFkEpx2gmNMMfQIufW6yIRsSe0cECwxkkgjXnVhWl+AP85 +0BP4cFhooiG2PaF0uj4YDAx85cAhxxn2CCHKmxj3+qHW0iQCnEbu44HNv1kZRLzonSdVjIcvWBoq +8l5UkIFG02DUAmHeejmq7NUqJ4fjc8hdtyVvqWVkf0iWYCZhTZXAbA93uR2fG68rpjPs4mHYY75Y +FTL6dnmliuTvtcPjd+O9BFsGFq4vKRoS5I/EGbMIqt6beTE97joYsCbhBTE8PBoBYAl/XwJC6czT +eFr3I1zXaP2L1FAeNhd/O42atL8fcVbvtTFIM68HKvGb9AbammtaIz3TChSeI14W0pd2R4o/HEbh +zYLd1+9KIxA1x3Kmlx5HIBq/WBNyC2mc+dcUANKRTc1t6DNHldeL5mLcq3J7X1L+hle8MBieTAIi +30fLhTxRvUslVAl/OZlV57Vwws6knWE3jCnoF92/wmLharBcBwrJrY1cZ4pUCEpbDWWzTl6jqzR+ +sh1HgOYxv6xyNrbvkb/UiEWgEXbjxZEiDUjtdyWDaZjnZky/wt68W36d7+KSSJLJ+95Sdk2Mmga3 +daRFODC8dzH4WGH9y/3/Y4NqenZcX3vlu19G54BWco5P+uGD+2lCgjxL5bvGqe9RtSYIBCx34iBm +vtNT+ZUncNtyIadDT/Kg4ChmzMp4NrZxwb4Mea0kpY2cBMHqb5dzAbszr8OAo1x8rnl55QOK4QLv +Queij8nOHhFcHU8YhQFQW2shXh+vHTokm8AorprZapgxSKswxHJikzdFophdkDda+gEmVo14RjqB +db4nLfW4uAf8cav5VMxptNWMQSLsPQmPk708TCsQZX25p369Y3y4mMJQ8+uFOrh0WLgEAwwTQZND +a/FUcUpTfHONm10ks1v/qynkwkMIXb6cnnA+fzZnVPXol0DbAE+YYhzewwRVcVY/JT8WYrzIBhVr +Uz0PR88bMWBpMMfeOw6GSnggoSLdOYCIT+2opfeeH7g6O7YNt1SD+h03gew95DvoQK18g8XqVOk2 +DHXGF06LkW6PFMMnHgU1lhcQ3tDEYrtk0WLqQJ3ZN3cEAbuAAeIUPV4ntZUbw3ELalI8QWg6NRWS +hiw+JyA+8zm84E21V/rjILQL/2PimoUkL5aCva/5jhW+J+/BGeALc/K0JDVVaiTUZOM0pLvNia7n +eytULYTZMzkc3RZjxQSsgWPBaPPF1xw1+Msw0dMxUwblRq4Xj3eV/ai4Fy5zQLoAxqL+iQcwpLbS +rrbh6x5Z4zAbAk4WJgsmteF7kxhEL4z0d+ae4yfiJrkuTBgwQA3QkMBD4avUD9laxLdc/fGFhiaO +eiGAoQlSxVnavPfBhNBoTWlBFtNCb1SRB130syKb5gzLtty06BD04bqtPllgs4/Fpt5VX77guWQs +W0fD+3VvoUqaKLQZ8GrQc6jsnb/YuwmDW7C1g/0chzx8fUJyB5HDLs6q52O0HUQCMy2zwwob/fXW +UJUfTW5u8+RXSNFOGge6GDN3jsrmwQVkGM+1WEc9rdR+HgYRjERYFaC3IRa8e/zySImfvLAsVvEw +ANQW9qGU+tn7CDWAOIv2pAGXFz3QflltC7do/ACnr9vow6MTvCpONZwkho7Gk6YeJJQ+BUsp71Gp +0VQldmKA2NbrrWOYfuzKpqDSccHlUMBI0hvN8ffrIeUGFttRkGk97vJcVKcywUT+Y9ZSXrF+SlJ3 +019Wj8/7VInf9y9IGQY/K+TU74IBuMXLLDoLfkyjDkRUacdMXRjVSFRxJXcqFOP0zUx4fdEw0I9G +TPp4UpABHqGda9NoKEyStNEZN9mv135Wt7Q7/JvE1txCre65uoGvSXHfEmchPnqYubaWUFcV9vC2 +NgsscWEbNTIIHpOt6pBJIq9NN5guZMKWzKwn8khpDs9BQOL014LSNKERYs3f3Jm3tFY1eetXtFt7 +b8eumpQEf+7uwNeFzUPHjrYehDxfUblBw2MPgNagGglIcRgLsmxPKRlqtk4IZhT054K6XhkbecT2 +fX5b8RjWTsIlXEDiG62dMlkKpFCCvZrAMkurvY3uUkcFPKAP/rxCp5623V53UbIo2d5ID51zSGQG +anOEgrFXyT3L+0ZyHEF0o5ZvM4E3V0T+Luj9jkBUPgdlSru+o7xa3T7ENaYKjEaaiDquNxCxnowb +zMjqnJ7GGlRCi7VT0KkrCuT+qEKe2MQDzpmylAj/tlYN98ZfXVxQs3vJHG5KQLNm9Zt9cc3gz72q +WAfqDq07N2LCNbAt2mGW8V02Kqi49qx71uS89MUgGY6C53yReiPpAIAGobSGyCIy7KqBbujA371k +Gzr4PwDz/DZ2XHhGPL/nIRELnJjjPbOok4RvRDncy7q5CxIgOjQpAawtIi4TKAGHf+EhAPD7Jj7O +LzQgjzYNGRx1WLsFxbkgIsFk2PBCyQURuEKBX3QjAqUhfAaPkymoK+MGzqq6G4laZwoZtzyCw6p3 +0mGq/rcwV/6GHl9m2sDrTWcHy5bkOE1Bzmk0U7QSHVmJ9ngqB67HFw1ku7aIEFO2KcfhSxn/gpEJ +VPB/4VVeLrGv+5N3DXJVv/RD3+9oFOtL1rDyiKKIpyQojWDvVUfIbks20fLwIbfRu5y24Njo9HMv +0jPUJfRwChs7vrBP7G/scxywiUTBo4QLw1FEOIKU9qunPHuhEpSfiNqNF/QtsVk1hS3cM3YscjpZ +SnyitkaLiXvb+zD3N5fhVfHktQpM8VCrml3eJI52CuTkLgrkltOtULT8XefjEGBtznAu5CVGulYi +fMtNf2cwKOgW6dGOgfojrGodh1vg7cVVmPQ2FuDTSu8ljItZ0k76CasLC4ix0WRfKgPVIqb6GBD9 +kUPbOCNcPa5cE7V95Uyt+uypIp/QdWFqFfpaIdzrmKTpfs/dvbYZb2CjpXCfGrg/zc+M6oDKfPhT +AUDOZp5Qlt64arkF8fUdcUCPWx5131x/f8ffehVsS8IUaQo1XmnRS6LqD8bOUp010C2Uyn4DVpoR +V01DGTia8eVrb6fcjyz8znQWH3k5yQ/cLCyGCzc82j/EUgpQ33lS7rIMBqLbRT4i9gb7XpovIYtL +8nz2G2adi0nkUwHFXgJhdida3B/Sj75P/9vepNlQsPuGvXykEMrRuDdNjvpSATuWllR24rCVYEtR +bxSTnmwnn844C3c/YTdxbWVGSEd62RttQLazRHDwVhiNZvfwRr2GWqSDD1+fW72+/0WsE7LLET7b +8aFim/psn0VK3++eeAsTDVKe4TV7MlCX+DdNw7SBUCCpRpPU6p3A1YPLy06A5/WAmOEHV8ugr0y2 +6AtUinir4pfEFNHAjuVTBcmt/ZH0qubhFrorZB4VuD4m20e2xFGKCv+MpypKKOAhKHmjE1TVNtpr +LaXrRhWawNtc0Gz991hjK+Y55Ok6aLXD/b8Rhom1YK02cEb2MdeNgHWMiWigTa2+UA+kRN9zpgEm +qkQg3TkTtWJppJx9Z7eRh+uYwKFmowQpIlgtSMzeGwsBjrS+CFhpL+mJk5vga0NgfePkM8CXfr87 +er7iMy63aAZgKqJbaR2KyNjqdAyO43awEvsHWLhAmWnHb2XR4BzZbCQTmxd9UIj+ABqWmMtM2aFv +X3OIzhR6JpGzrnSnKbDH78uP5+mYcSXgQFVBdnj3mMjJ97XI/SExPQdnHGq3BhxpQPmaMs2ozdFu +qDcBxxFNIEd/jTXCqi/Mo5EeWeM1IDg83j8q+R9Xq90/CRBRFKQ+yJ5RK/p1595Kcoq1W/mRyEei +/VzZ88zNsDGtmL+3byD8r4yGo7Zf9PzqRJuaJvwmxOBXB87WccrSXUAQPnDYOBZey2mst6goBrew +lPUwi+5izXVNBbXH7zzxwuWdJBWh8Tv7/8ljCkdFgwi67Mini2unB9hk+vVVlzFQxmI9dgaN6MBq +du21rlX50NWq1gW5fRvxGvZkXuU36T+Q26YgaHPg15jyFsva/T2Ct9+8KNE1EfxJ39TASEN95i6K +Y1+qfE+jREjGKBeyQCIIPMaIouFoB4YkslLkjRjmGFB3zilJPliAp3930El7Ih6wzL5r0P1PSIUy +N3N044scpyHAZ08XI17N+2kmPzFL0yY0T2cpnbUI04ENh9tIbtcqWeEynBimRSxCerbGgPmCIRE6 +vEIQEZeestLXpnqyBmCnRR0TQ5O1/4N5GP7qbJGuvnLVz+6+WAtJtH+stSvOhlQkahVRIaSrgJ23 +M+WM8lUKnAkkyCxF5b/2iwMGhk/krvaxjOxpWPL4uvsgcfkYIiQJZq84fPIkqoksQDmtLYiZR+ms +cPIQdV/A57mwtBiq/elAZTUGsAyjys06GpeY4Mg18+/GZC/U6zwZWfSI8A52SVIPMxaH+hB5J2oV +ELAfROJfd4/ghHzmrnbN4W4Ny8EdBcmeLby2U0LWfTY2/iQxELLONfP44Bcxb3WKEOuZPhmNzZcM +EKSQ0g+qJolCgha0rBmuhQQt0nkngFy37HLxBRTUDYin6I8p62fsuEkb3SSpE4z23TGUdnSZ8qT2 +82FNB+5ajoNKBqeJ/Y7kMBXW3eFs3/AtbSO71ggHcfzmdvTPYhPNprBxuPlATyCMRFAmowhdPthr +JFEKnbnxxa7Z8VOsbzSHP/ml5Q/mmb8jKOfrkyM0hPjWPwJcLbJVglwWhoKcCDvG5ClnGtFT4UGz +tnBOe8l5nvFNJK3HFZwhE0PcapIsHwy2wSs8/uv0jI9Y7+nSsJE8WBgqNKRXEMAOXVZ7Rr1O7hnK +O7+GblcSF+bxcuSJGlhYrH+TwzwXd/6g5UN0RCK9qnwC0tvmi3B3fyaPg69Kk7GVZ7slRnaHF25q +au9wTr79G33xBPJaL7NRmP1KgUdyqn8RYEnXB2cU+L02A0TgxefF7dkOueoS22o75KcSHUWITcA4 +20mFFY2gtUob9yzPgxhUndupO0c22KoTMbbYVjidXIMLCJDWlSLxUci8XR+HTIR3TwhsGOv4lylt +LbNtxQVFwSygpb1T3OgF0ghCEsW2RvUXwtqMbCVF1YEkKxbQcx0aA285P+1gWH0UJshaH1J2ZQWK +GWCwuQSoDIMHccvZpqPyxNgxP6rS7c0JZzhLHKjVFBDPTs3zXF6hq0oiWMwcme8WwNqz/4avUdkP +EcJIYxfthqrQTRgeCNERJ4UJsQYW0JBCDWgniXsSV1HPl0LY+AWeopRUrQytPV9gd3R8mrEvEYyu +lWEzGW8Hamktlcg+xfq8sLGM9cRYRP4vOIw64WA64ti6tUvQrn9Yg0LnZ+NgEUUNeNozV1f48VRv +n2gCgUhvP2ENrmeKzF7hYBttm/7vja//d392l4tg3d2wFCfm9gZjIKHczWDI9oq7wQv2oONtbEeR +TpkF33O5z/llTj7L7q6XXA2JsY20CkTLxzUuPK0Eu5aPbb/eSh0VnIHSLmx5qSH+nX5QlNtrK//F +0GH8XSEXSlkwLBh27n5YjvY9TqH2HQLA1f4Eya3lNKFp3ryXF6AspqgtsKjIhafz21nzsrYdsIsK +fYD2uz63JTRpXAP850t7X45ZTOiLbjnip1jNzKI88pm5+gbA3pm4VBxbwrdNuBNNvqC39BbHxDLC +PFgzMjhnKfkEoj7SAZ0Qkt18CMVBrVy9LVKAsRrlwqQSP+EjcKtr120++xOmcC+Zlh8T2eQEs3EY +nPnssYQYJpkbf3hZHiQPIUMh1Yy9D89YhU6kTO54WSuFMX44tha3m/+ptMrIJsXHlxdfaNHy763X +kJH4ZIiu2rRKb8qL3u+QLDctRV2Z4K52DacTse5gxORr2W6+M53MZ/oj+H8FqPCuX2X8ydhj6AN8 +D2LwyDJXttx0eapPuVh9gd9QxQ8AqvyxmBKW3WA1hYB6N3bavkNNcDZcTk1RBmYfm8L7QbXDlGzc +fD1MgTLra4/hPaNEfuhONBKcKP9fZR1ehVl2yXE+uA43YTWWa6R7aQJCnOm38PQ3x/IgBurOThyE +56yczsC7kZnJZIOWAlEF2m2bM8b2QCYZyYC14sWL8hqAdTxcA2agHSPAMSlwuH9ngo4zyBexWEN1 +pcdfPS2K2s9V0t9rPmf64qWK6aq1xd6pFkzhIfOMlgIprepX0bsKuwS4g1XXbbThiYQZWb/BCbfG +FdcKzPoh0AZIs0+qr8g7y4Nxm1F0Yk7NbzxR4KIBld73eBdxgq2r53EeDAZtJD7fkIXFnj8ow4CS ++fBoPW1jyrm/PQBCAlc+VriJNDEg51+TstBXj4fQGd8+6N8S37tU/mDTmbFoHtPrcs9LPm+ImmxO +a9arPUCB4/bj/ldUNAU9S4hhr6fKi0ortsVnq3qXihN4oOP3fSXZ0/bCuHL6+1odlSuxcs4fbeqj +EsaDkoIz/2Ya+tLutJtzxnlu+p5nn/NOS17MHaNzj0Tv5vTjzRooPAha+UEJEUMAM32T9ux1sYCr +RIf8ciDe47P9qZwzy1eTmeF8jpCodFMBFRCBYcHSu0jQ7+aO7H3oDrsCvHIufFtQMp9eGVRUKG6X +HruUtMTNMuYFbb2NetROOoZilOdx/0WwtsmtV0Z/F0XPRHosuOiEph613BuuUo5vgGOC9/YXnDvP +Ksqlk9d3uqeNX5ZSN7nBPnMkcuFZGp8I/efTjPjyToFdPzBWL+0znMsD8xTW9tXp7N/7IEfSWGa3 +EKA316P2URdkwr8KE4+MiokoikVyuHpRVxODGFSMfYHfEiiMtcLksZ9PMhx6OqYSoPg+wmoTNbgc +/Msy2wV5Rw0mM+4AtOazogfoxvPqD2rEiUAFg5+SJks4hevv2H29EEmEOT1+odCDcUKBZcPkYf2R +FB1RpsHkpAPDP3OpxbD18KtdJilIPEngYq/11jxrhA0M1ITN3M2K+G/f3IEBTNEZi8xInPGxQ41A +xnwLpQx5gcTQJI3ve1BGn8mpl+ZSZcUSVHBZwLujGvrPeqovW+XeUSl8JRllXS7khV3jXzlGsSnd +Ox/QcgLlSkIxwpSiA6hRade6owVFtTqj333M9HFUJdxi0ioLJjzNsN94cpvVXiqfVav37U/zV6Ii +XkzhtythhXiYtBUkf0MpPNbiRnK1IYaSZcBU4SB/K6ZIh26rtu9CQ/3k8/DcQ+ND/Ww27L63X5WJ +W9OmClt4/Yu4DGdIFr/M8lDzdEq2G55hxDalRok5YVWFbF1g55AQzwthfcV79vd25uqt4sx4xph/ +SSQUS4mQbl2fNXwxcEfm44fPf5hIeR4JrwnDpV8P6kEOMlDLji7wsLWqjLL2BCSlYAQKNAuwS5/i +u+TFVCHtcpN20kKeNoFDf7KZzJB/bPds65/YfZ6WFHDswADNowDhOeplWt5nYGBeRn5ZPVY+IWA6 +6SxB4qb/Da1+0ESSPuvc4TDMqsZxreKXK1atwK5MzFuWGiUsVsKM7DcSc4/wgkK/LhcCa44K6z4l +5/wZOGzyw4uOK+Lhlaf1NDNV8NmUO8Yvrr/qR+BG2cYNTyNuhkFgHz6ePmmX1p50UZNTITDRnlgA +f+U3OlYX1hazACgvN4+ZungN5n8K1lfEZmVynsqleKKnJLh7asPCVapDw0HU2IUzZ8f+lZMPXuiL +UYLOpXKGh14wbcRF+g02CZiBJjJJ1zTYbZNtw7n34XATIsrNZAz7aiXVxTaoBEiihI1LaQd27MTs +YIr2CKqBkVW1SdwX8K4EEdZxhfKlFyPngulleZcD3voq0inIgOD65+jx8om9K1Tx2uUH0kPXrkFz +93XuVCNPgewA9Reh9XHV9uDQtHJf4AhetHsfukLDlM5AR8tI98Jzgxa2ef3/B5t3qXtruZkSHGpL +KNb08TymMv1pBAUDf15cuyVrTD37S/xg1nALcV9gAyixJdMyWvk6dD8GPJdm3QLFNgc3Lan1VU8h +GIf6hgqUHYO5qy3DsrCMYEpXjtrjkEOfCt7CH18E4fFQR9KslO3MxoCHustW1PxPqvtC2VbLXhPL +VRG//ik6OKZri+DLsNl4JGKQZfzgkiyRgLhdNVCsmDmwv6yYwRPj/ABmHjkaMtEOg3BNkZZ39vPH +FslWXFju9rNFzVlYeQPHlM0PBEKEj4E5Gz4dhtPD1I9GUTyoTmrWqaPFTtXdc903tj/N0uReFvJZ +ttlNkaxOETsaPjimCisLsom7DU2NRzLcOFXR/oMP1/4X3E7xyBtVd/6u9SzEOTFZwpKwwi6G+Uzk +X++kKWlnDK3J/Phhxfk6nV4nEggWJhfyGIsqeKsglr8Nlra8MiR/lNJ4JVFv7ykKm2cIP6nxjsnx ++twsP44cabPosZATC6ln9JL6yrmBbsjL9eKZ/tIzcv/U01WK9t0OC21E4UXNxbVUjqPxM63Xc/vE +qrt2MfclYgh9uiPYGELcvM6blIg4dTfiOkJX+VYXA+lDcTtH3cfkuZayD2cqo5TVA6u3oMKQtf3K +YnsSLcLiupzy4Los8gXzBJS+pVDZlC7KXfzBBGBL7V8jZVwC9rCKGcZuefxkHngawM1kW5Ia+m5q +Vm7pA63hMrZg/HKo2r9HfByTIUkePb0D5o2nJ9uVkByH5eVP/FidwbanYnsFUWiWttYv2Sh+jtBb +mYy5lGWxTOM/Kxw+B/z+Cd1iWxJcWX/sdUno37uoqeoyBmy+EDd0z0POkhBDH0xoE5NQLELcfpXn +ADO4mEliHdVAM9kPTywrjl48Jx4GM4cex/RHVzpMf8k6d9SUvs3fiuX3bbOy1rrQSaWmH5tfStSj +bPr4VU3cg/tN27sOVk/mpAjdp4M84yngKPR0QNHSuvR/ez5HjEsnNTsB8kWNQHU4lTrtjIBF2Jm0 +dmGM6MDLISMMF0WXbp1tYzH5lERlgerZdXK0x+1uSil5q74Bgj9FWCHrFe9+XgAFBKEXq2tdBLI+ +aCcRXahjRgGRwoHucOR0sKPlusFD5oWsScOP2j6t9KClRo8z9UaNteG3dnG70+gpzVdk7Yr7HdvO +Glmgv4ZIPO0NjADqoCdAf9Ma/5dnOr+E8kfg+8qkQm7Tn3AoVal9djyKrPCMHtU8p6aduicq+ujX +x3wmBr1sIqE6gIYJENqzcNyJ93qCwHwL7gdC0Fjbo7ZeVsrYGQ62OTTxf/MPxgidirBS9gYL4bJz +lwR5HHSXELEXuZoGQjRAsVaE16UGTblgxZshx01fajrBrNg/4fySJzZGLt1qkkHNSLQsyMtkEvf7 +D+ZKeNTs8whb8w23kjWJ9c8Dr0Ikainynn5s/Jp5dM6aFlBTxzMYvvBiQqh9M3Q8IxGsevL+nDkd +/oKNWNeaim1vxqZwKGTtwu+QILl2WVuLVkvDsuIVmKJCGOGINddczjKdyTCWhJWoxyRhf6lzGfwD +JCb7dGFX1ieDg+F8JzhNlTW29KrkfUXEJgyhiBzQlpnpyRtGTd6hwKmGAGc7kPPrnJHJQ7IWYPId +s9nlGpj0GWFQSE94Vk1JE7L92+h3MGfcg/0IQpA+7jPThl6kHngbV1ZmYoD0U7y4QrIcPkoUfPju +9RZ9WXosC2pdraUT4e9lUKJU88pfkU2cLf6QoC5fsqzHHBfbKufZAvwg7nMML6wjn5vv/2SKCTt7 +GTBBKxPcIN6VMc1/9CvgICeej8pQRARH2l+i1drFhtKoJiYM/Gv8rMWlroYS1p2Lxsa1kkBAFjgv +sI8ip/iN1kAhHhkxzEIliXb9004ZvrLQjfrWEB8rsV5VbyOxi8nSzRB1DelrFL1k/opjCZVjpNOK +dm/uEfFBctK59WjVwRnVD98jfZu78gegOrEHtwtyRs4Batn9kl7Mnb1eisLGhF1wMGHhi2x6eDu8 +387t4HeplE/oLY+hkT3qwDZLeolPUh+bWD6yA2uwPYOCvpVIoPouWuFWqGfKqGmCrk85DiAhtQPz +geV6UyGtDaS/bRTPiwI81Lrn2igz5LirJagRKxf8gWyjj2nRkpaf6lftiNTJ36AgCZ+WINWkOA4G +1gBKVcZA4lvmeIzlwi50G0SvqLD2WoSG+CYf5GV9eK6/sTm1ElUwlbdBpLU5E4eSplzQuuh38v1V +AEWVZlB9qxS5bQN67P8vWJgIie8SRoTv3V2UZ02DwWPgZrPPRPCCprKJiyPL9luhEilhBAL9EJtJ +GiyJ5OZRQZ11R/DeE+nbHaD98a0BNjBIwbpWNs+pOApInm5nf7/CfpILmrGbqvX1mKDR6CAhGCCL +GnI2XIA8aGh4AViuTDry2BXF/SAFrvOraALuGwgGrZyZn6wOeOfPdInSyY3mJlpWErjYTHW7oK+Z +briU2AaeuIX24peC92OUAv289axm+ZwVjVYrbnP4mDBT2pv6AHevQiU7j72V2emAVvNnmea8JNTf +F7GrfxB3hNChtS7Zxki+K9EEN6NV53WimGZfHsQs52vmCUbySK8SX7WWnIWzBuT9h40NOH5+7+i9 +RxO40Co3dQ/5j5/BowM0958npdijzbh8jDhhZVkOGMrzTe0HgR7p0XkiGoss8qYFfipsB7MBfe+4 +tXipA2JNRnLOAYTZmlh+0IImmdtkh2bz4c7wv0NhYJAARKSyuzGUMIUTJQpkpgX3FVbp1a5sH42d +GHeWRkkAdpLTmCYzV/faxXZSfJmM0TJQe/5PbQa5PM2culzLHSJBGYlOqC/u8PExwV2+IvrDdQap +eMLaR3qbGDrBXnNxoGtO2DFyRIhYvJPabjgnKzmoDw709esIcd9xj+QWpsofDRz+3o8DwxLvOrBC +4k4+4I1r0LupCdTUFidcGzaJn/sVjcKSbj6ptIFcV7p7kaGj9re3H1+JgYtHNsilCFeL/PC6KAQK +6AbFGcEhBcxbeFYhj6ueTFfCdyOClu5gHD0JwEctB2VfXvtx9LwvCMBWFHLAAHbZKsenW4H9d/GG +1TH10TxvwZk2KZCMh3aQ/MSoiRDo1HxZeQh6jppxkOlpdasgB+E3UBrsAunM+AKAVwZuB3Lm3ngL +cosu4FkacxDXPeNh0pC+2pyt4VbNJjIiUH44JNVSPl8UE1dHySJXMQ7jzwGqNfRLQUEVZFRZqUhr +uasgxTbmm6h7cwcd8AH6XGUVgTLN+j2+LL+AZNlZCGNssp5C1rAaY5iNVv7GqBmV1vTC9ThWSRpi +SRSashHnRIWXfr4eiK0v705RDUnDpTnxseKyYhDFzNnN1F8iv7c7Axh4FsgcxkUKYtKu+Rv6C6qz +1xNM86ZFC06mnvsGePha/7PVUGvsqBr6obR/jkUNxmVQqehPYjas/z6MJIjzny5nZheOrI77eSu7 +HQvODfYVOF66d25hFdgQUO1r6ngf3IQEHYwYIN/vd2aEzLIRc6br1yPSlv4qmTsG40ReK1yZ7G9s +x7DeXsAxwCsrame+qrBC3rl4H86IWnAumk7I6uuMUNgPl1sGTBOafoKcFOAQF9RDU82fG3wDCx6y +Z0Bwpuu4+MbezEBRKAkoAQJRI6muVlDoTuhXr81bpz3ccttfcsvIxxvGUcoN0742Ls2VHFzEoKgY +Zt4rrmPLh65hhwQg6TBNnUcZcMcI0XmF9x8tg4LPvFPaD3p0xJ18+v7qTNAFZfdw/8gKtw4I0M+I +LHN9Pu70gSqFTvafKsDZ/92ZKajKsL6SHDXJ3y7bkGMDlh8G5R/8k77M1cRfLq0VbcN9ueMnKWZX +ZYxlDIgTDXLZxCpwEQP6ZCOdhRhLADLW+5Kh3sgpBmremwVKfeHEtthjzhQ1LTq/qvdSEOgrk9pr +TPw0C8Y4C/DuKgRLEf/nkRC07bC0V85zoY3YsK9Iac47IiflDHVm/VRpxpWK3fmI5XX7Bd0becKf +YsTzaWvAu78tzMFEgiRo3dcFNfw/thO/yRr7RwtLBwCQwqMxjJr+UxC4Jb+/yyz2U1E9lpL4Ohgm +jBtBDEUdK8NJwEImcc7XYn8pAAPYkDxwfW1odEcRXnHIgxLyfZbwtUTwnnskkqgfVsZj4IBkxzxO +3kulcPxvPlLbLYqLXMH63pWSHo5iuIGMdDXvGVAt4hpHmko0RY8sjDitU+pEns9+2FXU1qjBZ7Kg +NgDYQjRbVOMSC6BXav2MIuDkdENApoptj520lAgecEzI8gEjIw1vJ4T7emoRTQMlatZDvq5faocm +h2fOiF1Tyf/rZSqN97FdbrV0Smp/J9goJ+Ann1R8OWuBXkBYfeYxeeqiOIfLt/PBBtTZl3nnSz6o +iLBWtpzn/wesjwno1o1c4U8iGkrDY0st0pbTx3L+9BbAcsGZuCGRWh+yFIpGyXwFvad8pjY8Rocz +kxX4Q0PGUYg/KMiX3Oq7DlSaGONQmMzp7wvTf55aHCa7BrSgytMMn+tgTQt1YcHwX8jexEdUnxZt +T/tnd5MGCJ4/wC5FveeKWj7uZwzxmhyieQT8M20w+wfLLOZbuXr83fjNodYp0mz+bfa/70LuVxVV +Ch2jFAI8MM3PVtdZwLjdtgOUGVXl2PvvA6iPWkNGDFXN4q2Tq4Y5ONKTPUCDTwhvcezjOIt6oPIe +IfhBTGL3l6iK4Pv/kd7UmPv3Wa6NlJDiBCiWWq79Q5BiOBipzoA/4yk4ZvAcS7tqgGpOuUKi93oL +fpdMZywnrBz+TOyTTGdblftcij8QWMyyS6J5JIq3mhbbTMIwcLH54SDu14I/T6DDl4jR6APjP2Gt +lG5/3irqlgdWF1Yd/MVGDQjLsssYhCw8qR3CCDqnQ+mQq8nKEHtEGtJbgsHiH7Sc/vgFxONGUzBO +izAd5J2D/nsES41b6t2qqwFqZZRrH/Waj/a8v/W6nSoIvgrLhzF5ZUu/H1V47y0VRLRPXk4GmYXL +rtgUffd5DAIZqyd7K7BOs4zHa7kVMWvQn81H9o7Tvf4KchPCdCn4Q1ZzGlCtyKyMt3rlkYM82ptO +SEgAfsTGazNdSWODqiiiJER/DzqC/ZL8nZPtIJLqCsAOurW/VXt1fG9EVQY/QXpqNdxGYdgudul3 +gfLGKMy2/n29a6NQ17moWUuMOcSQPdUYgVJoofGmhuV/60P0bADQ0bdWSFDCLzx435/gUIJqqoqu +OnXSfvekhrktVktWrpOst5IYXbPoXgfATTsb6rVWj32HSeiuk0znRCXDs//Ta6n23kiR/kt6g7Vh +DfJd+sPAmY2PyFzpIL/geMa0MEDEQEMYUkWlmGJOkpo0tza8TtzVAL61IiZ5noruNNrvsRdkK/kI +hTj+mLNqDKgzMGfdEYh2Ro5gsLNeusESgfHWiZPNS7pb1ZxsRHPMGyclrnVxSRKD8RM0/x8pflLf +OV4Ye2c8IVnbM6Hp1orhgmX9a++Fa28HH+dT6xA+uXf5bKfGBhfVILPytv0vgSZAU5ukTXmPeHvy +sx/g1g34c7tDE/AO3TF7jcVZf4SFjhUkPTp8WDLq4rWmeizrm+Ue3Mi5iVJ0Xxh3+SQEHtBrSlhq +YbAXXCwuFr3a3dTmJnl+Bh8RA2+6cg/iQJJ+4TNS1VFFsx5UaiaQcreV4daqkvSi5IBZJvJ/OYhE +8drQn0ezsy3cH4X+urG69sKSL/k6nN68w0/UM2zGpqkp8o0R+nkm3r4/ZWCg/JwvIIZUvCg7YyyR +cChD5gNCyo0xTNXgHyHzq0MRRMBiFXvjW6IiSQQKcLmhNVBCsP07OMyxaEXoE5NnmaspK7mjlj6N +1Sq/J/OmPniCSSQiqVGHZzzraDG5rKK+kpmPiDv+U4mWFhpsKIct1cFl9jAWlU3sKZJjJ68+Vv44 +pBkpJOuU1PFpfAqFPI51X/fk7Y6S79HbLTR1WqbSgsd8qhWzoMaNJGy907V12yPcVq+uwjalOkV3 +QAHbBjl/4Y5tY1XucjyQzhQmfn8Msj8doFRS+h0uvzfXwuuPUGdepjhNUTB7TTI/s8dsNr52GLtl +Yfu4jm2uxv6v7FsAs0sIxm7dg8kOMRMqyPHdjsMuqdhbO0yJlo5IqrVzp0wvO8jwWivMnWaFmxJg +NWtacE4o2E0/IWwFZE9nyHjATx9uXeqGT2rbFQzkApUxA2f88UTlZJuFNYsGtsh7zC49AmQ0drFb +zxJtU88RCddWwrkUa0E3gxABrFZ2IK6EONEsPlh06hse7OvFPU8VHGad9cOd4/D8LOJKaBY8deHp +YmYiCGvVTJuw0/sR9pQ+FUlezVhhiyq6PZV1BP/oUZcGBTeO/dy0VhefT6FWLGkqRmeOp87l/HVA +skWjkHDXVsrv/YOPr4ghFMlG9cZk2nRgVFvs8HoYhjtOqlFifZiO0M5ZhV/8qarGd8t4X/4rt2Lx +mx6KshVsVcgK+wpdXWfBz3af7kjGwpVPbgurOJQtOz41UUQPK9NHaIJWVnAcE3OthybRLMlTeteA +1Y8tpCIgbBy8a/fFiAkLWBD7DumkGysbPwMobyepvp3+3Xn/U4nXLOYVeZtVDKXbsJE3Xobh1h23 +F2YeNdJX1+v7wkhZOYnyf8+n1GU2rXRj5NjagQVhe4uS6fmWGThkugZAsnomUq2SYxXHDF8gZYWp +Ias/Bz37BykDFQm2acH+984RD2z9SaStl5TE/2ZndXlXHpzzxct010nd26ymX2zEjSIUP1ywDs9Q +NIFPdW7Y2kaWEuUDD9OMeXYNbjjzzWv6lbXqDRg13760kBQfHT6zcXpkQC6d8Shh523pKmvSM8TV +Xk09tKSG1ChTBp5WNrL4FGf1cFUl4PnsmOfQkR8/6/kBJg9C7THCPyjmIG0sH1hldMmhyHdBfhVG +6C9AApsG5UqnlnRwfrwxV/V8nlU3CeHF2dNaZ+tT5bk8p25l0AXM4pJxzjCtup++1IY13f+2ajwn +sxVBq46SOPeQq3xcckx7biyFwHILSXv/NxqQHg9FuEe35ZyaaRqzcMxP5Siassx3NE3WtNwf7im8 +rxL2vbFPLl8k3LX+LzVrxGUS+Xma70GLWMrQeuMLqNPJV/J2wB9vpfhaCeV5UnJPYQOGGuEggugb +VQb+RbMC4tis81DFYqZX7vppQ7dFDoY6ozVpkxU8yfMT9NzAlU1YuHi2t3Tn5cftgZY1CwJH5ZzS +HZpPVJtEVtvY2paQR9TR/Qgj6o14VyH32d5IAH0K/OS5w5b3IklwZj1hpao5y7jLUqlWiPTHdnWm +hqHfzx2HGPPBVaboem7VE5K4HWA67znlr4yKonutHGricXHYRhdvETjS65D3GOLlXctKCqZXjThf +eATRwPHqEJ/OKbVzd8ncTMmVYtz3kdX2azZVqq5gMRTDnuT8UzUNw4liWPmaPmYgfSV14IT5nim8 +1xdxiyiXh8xI1UgvvTLYWT0+ZwJXS0bwxDpx43Q8SWguPbyEnVHe72LN/fMlXbONH6t/oRrEKrcw +PAswIdNRrK8IVsaLdVfZb0EzDmiER3d7TZmEa+BGQWVyOjnEms38nzjUnY6ux3RpgG01OpcehNa4 +ST8eOm1KEdYSztA9xOltPCiRR4S/b6tbJ8/FzFYcn6luCp9rJn8A9gQiIXhIE6CGN5eqAgdh779X +S3Y9B2rnCedEnUds1Mcfage9tmXm2MD7LGL69njj8LLZBlqUMJzbSqnhNzWvm8CF32682rViD1Ma +TFd9QhbuHJ8chG9s/pOfbT20Suz3KFnq6glOW+xlyJfQ58056tJ69jO5kNe93SOBDh6vk6nvbDNY +yKH1WSzhYLwXTb+G6k1gFAvet5mtZEw9vtZMgVlVuAGahAfmPoUy0B4UhIPFWwCQ5D7Lym0sJDXs +wZr/+j97tV3oqNHdQkTfmvB4GUEbbJTIeBFKJtQZIm9xUPfc+WL4oz01h2yyrWUixDb89IljmjBF +HRRsBhvFwASQtQgu5I/pzr5SJJ3RliChLTJAn1w8P2RS9pviTqdeogHrghI+sH84Ir+ZIaZ6BtQq +dHCbm9WuqA2ri7EdMz3qBD5d8U9oFh53cxJxGYqNMJxBLaKagRA2BRYgOD6ng+Xp9+FJajFL8Iqj +1ECKdrPb9JMQLSMMS0+ljUmQqtqhJxNpxhpEBU+dWSCC3J7XVRs4JmdokDU75jx4Qj5zTeBMN1D7 +CFg8oYNZBwG6E3lS4xbnKw9GG/DCsVxYDYfRZHSko6NV7FfsWZp7NBMRUswNKTQ8omcdEZEgTApN +IY/v2kNQAbyyPBtFuCTT9rN6fmh9DTRkCNt4XgkPPpLgzDLq7gGksKcRIpwf5JLCTKWqj/goCnWm +F1B2FFw7ZTT0623MbVrA/dujphp8Ih0bxP4mL6ZiLvQSOOhMasqBH3DGBo8friqVp9oirlGmqkA1 +3CSzPmuIA8vVDSu9ZHsvtxAbzIuWqhskZpOXZZyWZYteEa2wKfZo4RzHC3xQV/LCdV/1ATrWsxmz +kB3lLCT73asese62uBTUUyCgc1B0HupMEXd6FcIshsMMLVKpAWPG/4K/HdTjryrtwK3WT1HbOexn +2tDnGV4Zk1SExNgoUVOV0Nkmnmu460FLs6H2vKuqc3uulyEm04o8RibjKNOoY1YD2SBpKCv7Vxkg +OM8iKfEFBucRNZmLwj/1aIvDGvUEvCCs3OukDfy4ojC/xaIY8BBZWTzzXQr39ZP2PJW0NG9XM93T +9w5FyOpsXL6vB4IREJtkvW4FHpzchWY74rVogh9/nJrzQ0+cAVDM66syMJ1Pi5TW9xgEuhyxwf00 +lmnQUVTXOQJ1ktvPRmqYH+bHvhIRGjL/6/TOHsz8QGMlWwaBNRi+r3zG4VcU35MIHu28rhvTEa6t +Twtc+f9Sd+SVAepzdfPw8Mgo1+dD4QF9Pz7tln5m7VMdp2Wlqq1nbWwNgN5Srg9Tf1zrsMxUWmxS +pRkcvNwZv0Yf7Kytcuiv3e+yXQCRSKen4/Kv11N/F23+Q+d+v9m8vuG1bvbEwpclQIqstcjjVB8I +CpLf8RKj0pGC9z5x8Lpd0WAzGSibkrPIfvlEjk8x06D/XwQKXHt0HVasY7qXqf3Z2HjYLWUobEzr +hEaOaMU6OVZAvylM+TD4vwhlAzQi3QW415JD95fAHGnHcUzn6g+gfY8WqtsovYgNpEx3n+BMTrRm ++9ZWvcwivR2LDJ7pShAscDNXARrHMf/jPW7HtXWwEyJDXcCd+nkPLlSnRbFuvx4uR5NRkOU8aD4A +Pw+N13iFBV8Ib9irBqCD5GbfMo8uL0Jl7blDLzvBwBe1l3RycIgf7oN1XQMyB3al1AxdL43CCNGT +jdQL+0P7IvJYpp1NhTi0Q4mo0nhsBWaTXKWd71AqpxDtGSQuZbhHktjQ1Zx+Q+JkNA3WkQUj+Nbi +gwjhz0kX7Ra12N/p8jqaNWu7w1T9PGGOS6O+IxW0nHtyOmkGrNkhbrXCtExDgllUk7Hai1OcNSMQ +OSHS+RmEntHZz+WqVFPsILz3Vkq5S8m0Yx4IjPfbDypLNVKVHHuYLtClSIfx+88kclqoD/kwhZvK +/8z4wanh6J5HoxXwY/nAIsd1eJiW58WnNbSCu5hSX1TtSN5Sae0rnxKy7QFVkFHQNjqHtc5p9STl +uJh5OVb7QyW2mzM87ukVOktcmxY29im/mkEKrgfHwVs/3FYq9rLb+Dt29So9jLG+w4AO5JbLwYK1 +sbMJLF5Gb1Op7Q9Tu7rx+p1bUwRiJM0R6kP1QHeNR7SOXN6q8tIrGPMZYP2yPh6ztBmP89e/Cxne +Fqbl2Q1H7aHSqN61sA5qEHyr4dzRz2Ujetze6OMoqLQD54sCpXBemncfB2RISEOmL8GcSCKdZ+BW +qtIhHQUWYMmpdEef7/oPbUcleXVrtXJNyTO75iHKChOfV6GEB2Aqaj4kFkET5ZEQRySs+ghQtu4i +Thqw22WGH2HPqRd9JjW1T1yMc4jp4FNxtcj6e6WINg72mNfyS1K50U/8Hzcbu945duO42WZzdzh4 +jtr4AIJFEoyOo0DC0ih24X9510lGCHMAD6PaR6JRFigvFzE92fldDvyKKXLLI5Vf4WIra+WNhOZQ +NGqxXEv8LFW4kNatRWU9Y9Vox1Wogb9zMaV7pojIkka1oRYMT/vVea9a0oAqJqg3moD7ICYNnzB+ +k5HiiADOnS7RpdFDlildvYWYohFhrPGPcj3D1QzvB6A9i1x+ushdAPirxboGNEF89tiI7xgUnpzu +IRh3m6jPm/iZZK4i4HkWZF78OSD8zGmQWSGSdYTnqPocVpNVjmggVPE832LXczem+kkW+IJiW18n +pTsDWWEuQ0TSLL2cd7To7tkIsxfJmxVAH1tMVD/BRnDjnSR4DuFznOYhwGX1p/wK6EvlOBstkvEj +pBc1yijIjckq2DzXJHoHtnvJusT+eDNFTScWQKjluMYkealLdKf+tVGvhfrLeOwysmuq/b1gTfTB +G6IwlTAYizccT1Z4l/K0QED2wXwawaG6OU+CgxzK3HQ36v+DI12FQkm1vPraqhpj2s9CvQYPJcyR +Sc050cW/st+iZ+Du+cNEn74xxffnyg1vZ0k4itYAxeRp/dUBVe9RqIGAK/XY9BMy1yW4e0o3i+bB +j18ktYxTCEcvvF9mJiyIEx6sBmGiBcCUq/iXOyCFADJM/DfVzHNAk208jN+DyuKHAggSNGaq5UnO +wxl3EXhErikyqkQotYWIsxcGdm8n1xe7O2okbzWnefQWUCg4zLFs7pKJF0OgC7xwYfqh01OJt8tB +MXra9nvmQulRF5ofhIL0olMg8OaNw5y00uni5iMM1CrkbgF4mS6e6hERmIRiSOQa4FI47ewMsJsq +ja9H6kFrKKF90i3mTR5yWX48ll9QQjLG2StcxDqJFsRDNmN03WjbXKuz6p3XCzbJaJefWwsLC6bT +NWHX9V4t3sV8NPTqkmlSEejahqjYaANMo3sOYZtS+M9npBMYW61y/ZAKW5nDGIMv+udPGAeWVuC/ ++ABh/68hz6gSwJB0tmSJ/hSB4LfYCTxCFWox99wDc0fHz0myjwtDzTuYUTmzK3IiafiqBmNASbj+ +PlXrDbeTocc2FwJhdhqCKkCjsm3MSegDe7y2oRTv8LXxmnVojEQbYSuks6cFu8eNA2VatA8TOTht +p9vWpGRDhyzkayeDLYNjKa6o0sblKL7fmjCZfMUokFVHi5s/9HSBgYrNxyi4Dydo+A4z1Q1UmTCZ +8FLNvO31Dk/ut1CGZyqrIWIEvd7g/Ytxi5nhFcv5kcRzGbtuVlYf29Bfzgr4SwC9duQ8BKiQAXo9 +m06Ohtztkd9pQ4N7wtqFaQfkqKp8e+shW8EhYWRLK4LD821RXxuflf06TutHXskhNRrmftKxtuPS +3/58JopJmbtny6ergSbdwjLI0McOFWDl+QtUJkHXDR1IVMPS4jq5jKvmfvBLu9bFzzDWF9i2mxNz +dOleA6edWp8hxKZvKLgiDtWjMY1vgUJiReYJnOehxLDVBA6igH9HsPWI6RkKaMdIWGon2/+VRvp5 ++QFyjYOoNN12udJZRif31XSbbWjcyAjlDd4kzuotdM+qnq/VrNR3+1W9TZlh39xG7MtBf8Rrj4g+ +fQWUPtazqTB/CXbr+iJ2JA+5+CPpUhiVzCC4CR5m4dZxmGWljyv/jx0P9H/eLQqQx6WfpjRCGkgz +IV7L6K4o5elHT4MUEhBwVt+2oj9JepoXlS7uz0lNt+t06zYDXByZkhGz64jLUvbuRd+QyZdnLDi1 +eCue/u8lpCtMWEuTboqEIy2cCG8bIeKieZcyBlk57gPnyHm+9BTHrznK6ttLXrhSG8VDpPbRUmJN +1wbErWbGBcPLK05PPYZpa3Lc3v82ZTQAT0fLSBdsx88gE4Snz4O6r/yZGqLe8dnGI5lAEAxl0eQm +GhwaR5OsJa86RePB52dYhjCLYWYFxnY7ueWW1jghDRJdSmkkl38Z9t6TgY/vQzgeh3quKADxOLxw +udd5edvbHcuU958qacTM0Mmhj0zaGKYcOrQFjzOeeCumddPjV9rmXxLGaY/da1J87VpBeKA0X7J6 +wJ2HeY86J3tUK/obrkAtgvh9rwn9QS7wSBJdmI+xtMvGtDAsjY6gA5vvcnkMNFFuDPhHkznX3nLP +95apCsGMZEqFQFNd+VT1DkGhsnTQ3xUYDcqmzE3UeRgeJMYtUdkylPJQETy0Hoy19W4zHE1GeiNB +6u/3Jb2Y8RuPlxGoH9N1voQ4EZ4kPa4z82BacXt3sMUMYN1Z9FZ2PbGKBfK/LXA+NJxGlehfHMSi +F821FTbP1cLqAeRVzb0tIHay3k6QPI08ip9vUoaOxRh3bDXQHPOPVAQYbtE/XQXYQBPKVtgFgZcx +Y2cM1zDPZcFLMkYyOysPIlKPPU/ZejSI1EPBNduGEqc8rDDiTTcIJPnLTzAG6xQHMle5mUn7ueSB +tPPW7a3Yil1Uf0q2PlNiYs8Uk0I3iRDTewX3/K5Rzijd2gqolXfLHTB18lOID7l7pEn0o2Rd/ip7 +zQEH1OgcyStzLSswV8I8I3iAibxoT67RzUDVZqfMUk6usTzNKJGEl+xgWlN4fvjagZR9QG+i3YTE +JtiY0I5Tdz9Fwzf5bOW47iZ+9tNuXOuN8H04diB7VWBHTnEPhczxaCTOuLATnn/1rnm6XzjR/wjb +X+VQpfY8HvKSkfdJD9d9KnrCLspjwElFOi0aSb/9OLjLZjBz/ycWpwGBTHtwFZBYt3AJw75tr0dL +OW0YinBq4iws9zM+ydjswfVBqS8zNfDyxNJB7gHb69pC7hGonOXNE8EDIwXAgkiDdR5Xcc3SX7iQ +Mf363hmP/nF+bJBNEGayUCIJNKuWwPs1J2OMzJnn6GJzv5agkJ2iydM/vX9Uu5p4trLypdLc0ADV +5uUre/Jiaf9EoqkJG9JFtlQS0url4RTD0XmgdGyPSQRO344Ds2ltKeGHzcqWC78R9bKop+Luva/x +aRY/boRmAP8z7XWKyXYjLcVJyeg0nO44HyQANYHSsCI/ET34aOr1KObeiBGIEpNsjKVjyKhCAeqj +jgQII9NFwmqrLd05F+fR0jaCaU3NTnUqEyiDENUc1qqEIlLyn4QI5ZJKXpPqc52Lr8KJvwUUYDah +yD1M4EjmNs4/4AmwsV1Xaed4lnNpc14VO900E+mS1bF+TcQ2sQbbqxdDzEZXtiAuZ58Wfhsx4p3J +CKCFV3lkS4va58tXx8YYpbXbBFqSVQi5/eMtiCjProTvf/6xrRU/PB+4PiduU2uUaUu8fn9Kc6C/ +bL3AFy6Zr1E9lHhr4bIzamppTPpOqaJmayArDiwJlCAxf+BCCRK6CTpUOodDR1/TavDOm2McP4Dq +ay48ItDZFSklzrWqxePogJ33sWSolopDFHGBt6MWzxjI3p8+cTs3/8dbwtv0GOAXr57TF4BW5iPN +vcii65z0HszsKazOFoQBHU+FK2P2JfFkF2wyGCMXlsD0h8BUqnt+WimatuNdyuS8JSunD0hZKWs6 +zrQT6WTrqY9gvSqwzoj8YQgDKmxUqhC0Dd6xy3JvIKGrMMg7Pg/kpkHFZHHozn91aY7qg8hRYsRL +OmZQXXEG/Z9INRZhEJa37POdF6EJte/OPI4OxjieO9fOI+zrARKaZt3zhOAiuzoyNJ7OaSTwFBhz +LKbI/2lYdIlwVyDlEowicAtmu+M4sOcPfQ9cKfpUVBsLRzgn9N8yhFY7s+8DzfDGJOoxGouW27Ix +7bqpw742aNMflXLkV3ZOoAdTVZBGjYeqfK0QNZ480K5iNvwiDKsQ/BcooDqna9h1jMHN97JC1p0e +weVWAoYkOq8aWBgVU31iFIcCo1fOP3Lt3jkVVrN86Obm3MjQEs/AuTrw8G2DNmf8BL/NLCiUrrBu +jAurQPm8rffTubrKzSOEh0OIaHRmNQRVYgn+mJgry3JZQcPxp+m/sePFHctIC7k3zao+Crjd5rN0 +Xni1JE1NPluGBAnOIPu318JVxOSmCpGRIum/ws3Wn5OQjvf2yrTSRrXOmTZ7qBshocAIOKWBVD09 +Abn0Hs39Y5qMT8AgVUH37X24SvB08xAlwVpeyu9iHL2pbxk0p996I1gF65OC+A9u/0w3397vz8qz ++YNJqER7YjdrbSS+ABCMbomaqevbrNxtKFwJ9bId+FGBOUWVtx00smizTxMUcP4ZkoktO01jI3L/ +0YzWcXIICkUkNFHWnVI1HKnCx7Ax6pD5X18PstEC3+sK6ec+P9PaETjBl6AGpT18eGXzZkQyWo4K +Ylv4a0Wp+JjQ2UBgrg+hBcxYS0svueIAcCrjzONfMunmqaL66bk2/V1WOz2NeTM90L1lX7Znzljt +m2Xvw5+dYOWHuCv3t8XrF3HZYt78I2Z/2+7LEZkZD1x3v7AHJZS1nEWgmdJFxPt0z4JNHXJk80cN +o8+oiuvaPHOyuO7lo1+BtBbmOfGfU95R6FDWGN2rIfv8J4YrTPRLf5bhgQUNNI64+lEVh7i0/DGi +TKLeMzJQOETFcRdzkyAiB1y2TFMhoJ1jCV7BUNNwRdP/paK/TYW2uEGS7lbHxvKBIUrXbbeYutJ+ +MqpCbSronxuX1pDd3W+XA9g4nCTjAWwIX6kTQzgH6Gr5x9xpsitN7aCAKuxJWFK1gyJ/zH/R37Uf +nlU7/RvfM/VB2DCRAC3DoUmMZxn9Etxl4SLsyR3doucR3cA29SPpijFk1G97FSaom0SUirGkP+a/ +fsz4pRbdIzVwWn8OhHdVGxu0GYma/MFiS2OtWp+Shu56f+owJnpmUePAwEBSxK7YTiJmz2ci2MzC +z+/Pj/J0uu/5Dz4dxuJXmWkr0ns50/w40VTm1YWqK+5hG0JOhwcvSXCU5o6SC/w9+6n17aN6Tig/ +XN9k1FDfoGP0nRPnv2sV2hb3FUJrLsJRkf5bsfiw4kIxnIBgKxgJ4Uht7P4k5c9P9ENLryizfVhf +wk6Ot+e2XZRoU3cJfUoWM777rvRLTuS6vVXvDUAmIa4Y4Es7lBQs/q3/iDvv5miDiG4QxWwuAGMC +CppdMVnKiwWdsrmclsbbrR/ZZ6O0zajL+iulUd4AfK1lEjm+u2S3PI+tKmOGGWsh6Tb5puFyVou1 +sYUUAp3wcAl7iOsVEZJCbIIxOH2pYyF1Sev5i8M99ot7pgwKexRTRezH3IH735LnuauIfua1eXnY ++qoickD2sJZ5yD3T5f+dhiTAJKrXs2NnxuMRkeMggCsYj+czeKpAXKSCSXAGB4HVosg9SWCbuVbA +3ANpfTDc149kxC48nB/sI1MEU93vlSQUzIg7CXhpw6HTVbHtHmBbpMIz76gwsuQcSaA4uuRQ56cm +CTMWo/id7/x0pYUpGgAU8l597u/XdSQ5vq6/7D3fjH6w7hyqzIFW1NanVn4u1nlyWBqjte7OGKU2 +JolthXnaDLWju9WbVxoXuaqMoEWgzckxYKNU9j2BLixvUSLOD/x4TvwIS7t5P16s1ddVcsiHgDvT +vhNhTm1cNrDyA9dCXrXitHz/U8tywxJtUeDMc3mu1mLtSy5Vdo2/LWZ9Ewbz92Kc//LGqQL0F+dt +SVvixwaAvvKk7GpEYFPhFU1wTWFskaSKdE6bOTpEe3XAQzK9jy672tzslSl9Lk33m52cAcGY86v1 ++NMnX94h97BtrCjV+4to+B6xPROsTp4YZLP+3nJDdj9KUn85niUBVv11ymaCdEHPb9NLor8cSpTC +j3G2kFdIE0M9vgkTZO0iG9MtKS8nEfi/qq8QC4iCXGMhbLRAT+82Nzq99KWK4BFJXtySWjmXdaVL +JqTBOLAS/4L6OgbU316Tu0mcM49bDx52PAzi/Lp+6Etj5nK2H23dD68V+BoP9ltRlfqscf1rrh5O +edi6ZmjND5B+ywqsiKbbNo7iJkL8DI1JT8IlEH0hJOwUBrJ4qpL9vT19uxA5UqrBAGy26ovR2yHb +aajSmqLCjhZGhV39HHz/bc9djkafkorRlkXDYXdO47ekMjrDXDLj1Rt+v3aSagSitxwkKiOBWIoL +pycL+/PgzcItxkQGXcB+VBCRs0X+QUmRSFkQ3Pvssl2YLjiUAIC4wYCvTi7RxmQ0uiZwotgbEbmr +2YISdUnYuaZETpWVDIHC5b9mTQ7NByxiCJabiAS+8uoxkFkvVux8EyMPyPsRE6P1P8jKhCQ4QaBi +wyw3hTX8KcOiBidmUFKzuXs/lv075Lp7e2nyQRuPo1diqNXo+A6H/WjAn9+T0ZsUm0ax0MJBkYVj +PtDcJkqUIH7fH8H9/htBvqam5bRRJ8neWCzFXoEjPhnscj6UEfl0xfHGgYCw/uZvo/3D6/7Yu2f8 +sykZm5D9/m84sPzq7PxnFCgjBFK+RFI5arUrDO3BiERH8lVej44hw1/WgVJ35pbuTWT28pZ+Rqzo +MOJiB3lTC1ErYhQCE7rtTTKOL2m+sxjf01yCbXFP+UVJltLSfuUGaK7W2JyZVRExk1JYO8uq24Cc +uvAJvH8GLwzvuzjwWyyx2VRT1LDJ0+fut95n+UvIUiVwkvMit5WRAXOpgcSNjbovLk7PYjaBqThg +IwrAokLLP2FdesRWUfjOAwmRySVB3qqb9SNCFOWbvZpHwqJSjn6NBUZqCYUa4tIF5Vdc0wSTVHh4 +DCV16iboLptb0BodkIjl9EhjzAHeaGPj/lmrcra6AzcTkUahWadINYqPN2bHIDmWwTHKyggJxNWP +jiv5qHsgM3bnJMKcMW8NGlSMp81bxo7tsDz7dNILw+aQ4zri2LI/C0fbKw1gwx3SpkBoMWdxaLsO +ypnAqKoC2Yd53B/zYSxAlnvOLKwp98h0whPEAL/+R7WPvYoThK4r2Ga0UcdPQQ9ZL8gbYIqvnNvn +90LV204tOKEm1GNCfmVVV8egodB4HXpYdIBn3m4ZlMkDdMy40Dk3FkvzQTGqo+iVaJ1ffT3H39VN +tZzTyA/VoaO3pktliF2WQOeQNUWi9hNJAal1S+UrrZOAqqKGLXB8BvDU+l/IfrRXyr562/IZZwG+ +CTqk4bB7qCAl0N1LEIvY6aSU/0tt2Eg1Z698DIvAHqq2jd8E8t982wLPTmXnNexrPk0CF+Dk1m7u +730rMBKx16WCHT0oWbqEuWALNpCP0W2IHL3z6SznYmagSoHbqkfnipBy6Yff7wuHaktqU5i5DpSX +cS+bhJgoQsS06jaVA/s6suHIZz7V9dpb+UDpYthNq0IYBxwDImd2blOwzZr+aaBcGWoaPEK1CbpD +MlbURYfJTifqWLcueS+e33H+Hal1EP0q9j3IooiGG7cPoV0DHCyp3COHt8J6OE1C+Xo4YHiZueRQ +0WFa/JarW7TQnd3eXwauVK5lvzc+0Yv+CFpd/QuLJ8RP743NXA1pmK2tMRi5hJD2ZbEsNzqgHN5b +5nVX8xKOe0KKf0yNslTqUfEA/CxHsYEKFXntEg65x86dl+UQgg1JGVvi11TZ1pFCiehZu4V7hMm/ +M8S0WRvYH+fI1WoVjpVcRlYLybiEo5AhZr7eeCPGKstL9MexSqZwWsKx7BFFIjdl1+6lVg+kVafF +SoN7+7aFdwoguS5xR7xgO1bst1tnk0ccShTt2ak1JUwPGn9ivd8PoKtb9xR69/ONR7ru1E1DgExq +//x2VCGtPezJS42fZZtTylY1cJ1BGuusrPmLy4989vCAoMdBQa52w1oDBrTCTNK4GVdICpPxhvTJ +z8T+r7j87uIDXmCJUo8ysQWs6bE0ltxShWweUifD7j5THYjtSJLkaUE0nfa1U6gIp/b083o2A29i +DcW/1nppGjRgHcrpahOTLhM4EpafL1AsS71bafz41BafNUng5Pmlu+YJ+b64WEYfUtPzZQDgijpz +fEKPsC+FZUp7laDVVfuUe4Q2uHp5iHWxQjYda2Fe/WVzFsqHS8BImAduVoAH0QXWXMjLKztOeeG+ +JsUqHb7UoS/4rgMPgfQHXg9CIRtNZ+WIAyUwow9m2AoJ/nsgNn4Iu7QIsqpbN1dnxZwABdZLQyVg +adFEWyxJa+/PfDojlDokIQF47Uo//27dXKEcy2l0ulCoJjqZ3ew5RYPUWr9kVD4NDynkGsQ69Cvi +MZh5dKn8JFa/HjGl7SLpHMVC05i2SyztVAtaIVWYrzZx19D1EBgv6tObAuI1xkGfxVCYjr91l+xc +QvnbuiECSkY5/3gXcNrHvQIH9BDqp6ax1+6g85JcqkaRJqAIDcWTsavFqM4cRxzlxTGP+AWU2/54 +EtMTXZAJK2fe+MRd4qdi6Ieu92qYjfft8T3gUrvAYNSpf0yMtO1d0J+DstQVmUFKFg0UnO0+C2+M +nLUjcLit4h9Z7B4Uxn+Dsd1YtPyN19HUB6IwvgXxTOE1WQodEjxIEY9hiVM94PWguCTi3fkHflv8 +6S08QCSF8kn+IfTeT2I1BfV8phbmGmDUygSBjhwcEYXF3nL4uVZoA2TfK759nOjGcH6eHL/9Go81 +4cO9f+lgcFgRVMAMjtirfiqGRKmRi8FZuHasyYKFIpIfES7dt1oQLi3pb7zLoVgRyqAR4N7zwWK7 +TFx8fuLDqGRsHepqqdsUFe4mWyKpvbBrvRGHF0m8gLlEk/koSRZah0jYRHLaXOtqFBm086Ap7dPh +ehLDNyx0K3eVUgxwDhM7Hq4Qqp+qsnFC/e3E/yWEUsBSwGR4YGBQt5UwLFBJNeU2bvsBe30yN61d +dqZa6KCv+v0rTK8vO26g6ggI0nsgsCyJux6wWdr1pMurrDDJbzRLkvIEEIn8qTQ/IBGh0JEA1RHh +jQcDCoOgOL5GI2Gzd+wpgWxLR+GICsMCNW1BLEI5elzwOdSxNYFniXjPXB+crLNoQhQyvLIZIUUj +uu7ieslm3ZxR5jw7Ah/SiolJYlTcM/N9nIjaPCutXBm3bTRk7lf1ZLPMIpZzCQ91uDqDmGwwJcRP +qSz2/WQY9HsRCJ84HZ+t4XErxTwNgZ8C3Eo3Jarh3UCAW01lV4GU6I1cXFoDGwsMX8jriory/1UF +QKo7+U3MToAvKoGV1V02b6N08CkEPiDPKxNh04bl+tyANArntQBBYgHWpgTTzsg1/w74HT8SlK3r +HZnHQqR8l4wEEP5K5jhzvpB/cK/VqW250M2UvZ/J60jQCzkFnwAYzoIIv3r+PM8ZHnJiglijok1h +TYRU5tNaVDCAPV0UAFxyi4P2pF/f/E0ffaIalgKWTzi6hhmNAxwPFgULpJowYbqIUDly+qgPiH39 +ZJF9JtF2ZMUTzJTwrRvZQfbfy5HvQti2nqKxeva0bwqiLkKNYCm/F6XRYJK7pryoYHI7O7AFL21c +ARoSzbWBf9WEMgSI6iIXBOr3zYbnH1L26a/yLvs5+kdw5J6w+deKTvd/LKGAVbxiEOmK09hcPGBa +eBkvZmQ7P9d3NRFaeKLkLx7ssiaYm6zWoS0wkMpJplkdf2MD0vc/JBcZPpw61QiDnD/kBk7Htj6Y +dRXH9aXUIZrn2zWJj8E8XjLfvPD1Ax40fGjyrhNimpdXbw3nsKakMpi1LFj996KcoFyayM0IO27R +l8ROxv9+GmDrPuYmqBGkyv0sBcn7cltlsbbyVgi/xwWG5OdqoF1gJjNd4VyqiU0iq/NEHFhvLNjg +gR01Vbgc33lhQtlCPlLxLoMbefxKveZ1uBl2PeP00PHYw+LKX4TxDPxNun7z7fBx7qAnu37lNkSF +xHj9AgL7ia/ZNF/1FxZgAjicIOFdd/fCS1NeT3iO7DcEsaC44em5qBD4R+SmLpc9uGjvVq91Vcg9 +4P2Nj5vwbAl24VXNm6ZuNjaaH4/5ReQLo2BL+jzdP4n1r+m4BqZbx7dR1oxdUfo8tqFcgJXCtZ9n +QWZXbg+gYzdBvQcvgGiZgNrgp2Gw9lK53KbO/0xfvh27UtoXI5QFXnfEb1lSYQNt/RE1szTESoeP +1bEO9d8m4kOP64L6r6bR6DX1STowB+juu9oooA0TMdZCA5Hr/LSEhmJNoh50A0T9rdmk0iK36Y/u +x7cBgvUDxedFk2we8fKP204tWL92CNkqlzz8cwi7nCR77ze/fL0X4DMzKoHfA42s2k+FDg8hoYgD +bWG383Vol3RYu1oufWBBgu7Oz6rq78Sj3SkNYV0v4tk9aUYgUYDT0uXt+rIS676hj4fBUMsWePnO +EIFOwZChwCEmunghhHkcle21S+97t0xGeI8tgPhgZJiUh30hSC/C5fKtHrUfFkCEoA9jPetSozIV +9mG71LmlaSz/b7U/2tp08sLNXjPIxnUMIyhFsy5WITY/eo5Z9h+5Ei/Ho8d3qrrNnl8+3T9OjcRs +lGhy7oHLg6EdTKSvMvuwbwMODWZmEUWKgVJB8qHVfW1+BvRRZt9NN1n8/OMGdzpzKEK981hmdHnB +9b5J+N3K1PJFxjl0ddvFJvc/JE228cGMWuYcdkjmFsBZAuNaJXWO07Q243rcCUgYsGG4oaR+4LKI +BlyySEjm7PPg5/kpNXOwixP+XF3HDCGnu27H64kUIARBK1KUOGFeZkFLVx7hWbWt3B3Ti5Tl33IO +dX/oaZkcoJlDJJH2275KvkLUROLc1N0is+BUkqyH4Zop48NlN8yh0mUBEqeybgwujJOPyOZb6e1A +Wn2z/bWZgoX7DlzbfGKUasfNuGgHyQtoOA2UOsNXvwy05mYaPJxJS1B3v5sq+X8RxHMuKKeHv2R/ +Ct9/fTINjeX4Oa0dVSEDBolNwumJPSiaFrvACmTWaXXLSK5xcKgEDexs+kY2Mp4rbySVELg9Iz+1 +0Kk+GzlJZm8fl1ctiZ2/06EeNj/YADFP+zAyxSKcRxzp/02eSxuTkeFWl0vien+AUyGOcqT/cXWh +efArsutA++S9rlDeeIjUWIL3cyHD1FwzwQEBPLIuZis4Y46KUcr+V5sF1b4xb1dLdQDYxZMSvoG/ +chetVHTiJfEl/MLDwqCe3PdKJPhcGc2kkxIvpWQzDo7l/6DqJGm852d0SvWocXJjScCWKPqjE2Kq +TRYxp/3Q+gq3sjnLar1kBofpDTwLtXUn0GO8zImfA1Pte9mRF5Wb22MyrKJdrWHpjNJ44VIbX8FK +i9BadU0kl0PVgoypZu5Kk82Pn7i5LAGNsJTRhB+lepH1km7xtMWm6KyJEVg1Jz4wAPQQJ+dJ8DQ6 +G6Kq40nwzCDhodTza4osHh0ayHdj6gUaW5PkAfC/Dkbn5dFtzt45xv6srjlXU1lNGKgwoVh2wVlA +otsPD2M0mD51xm9REOLcReAvICEpBiEJehiAWEwkt6sxA98fXs3m1LITvrhzs8MQTeIsQtSxB3Vk +WONdNyU3LcRG1oJ6idYPqbORX+SFURNeXeZMPwvRW1J6WYH0PxzJ5xXvkMvKkQkf31FjIwfkEM+U +DHYJZSn9cDCf1zxL+wFILvWU0Af6d3dxMOTgahBlBLsromM4w6FCxWqPyxAGdQDHowMmXTbyBmbi +4NwTzss98JhybBSfnvsV3GscOU3C1Ai/lGCFMq2Vjwql3zqWPV6mD1ut4B/UST8QmIRDtAsPTxm3 +ZKbJAqt9iIsotlvQgfLsrWtz0gZqAgxIwe4Xi8Kvx1G1urdA9Ll8kyXYwK45glso1lv50gvRX+/e +TDHB9ubYrorOnwVqfZ3jyVLpXHO1krul+Hda9F+cFabxyu3/j2Ry8VVFUCjvzbzeuID74QE0hHMY +rSX1ZpDiiL+AO8frQOq6tAC+RDW+wqSmsYILvPucoH9MqqBSopjUW1SC72VOTZ4kGBZ2KD9GrYUq +9y/KehJjE3vlfsYRQ9xU9CwG52lWnbiDVrZOdoruvg4PSjPmf2y0wMneQLkGwjpqDq3sg2Yv6oBr +jl8a1e4I42fM5xE75xdGvtq2wvGZYcbhHjvv20AQQd19kZEzdOqQBldC6y6KuSiUm4pXVzQF4Mkm +gEi0iASyJXZl1PFF9L56PiyR4w7LSV9UOh6B2cVxQYTQZupVrdd9Ig1FGzZXOmvRDX0AeaL1cQRj +VGLAZPNHeIW+fAhNDQFn2BLEWD+0pDUvvVMbgekCPrwiPq31EQdnflFT0mc7fQbXBmpdODD9vQ/3 +MM1CKf6cDFHZ1jm9fk5BV5p/udYHW2GR7mb1StZTAi2MTys01yZU05GaffWWkENbJwcr4riiLZhC +ayJvN+YS9ISNVQWHZD7gJgJbOORafNOWwz2Inx9iv8OS+2SnAtNYTu32Qj+IGnQE3kB+9HvmQtDW +B+cVRJ7RmAt6TwmFnBN/6/dNCbEX1FKCv2X27hKsX6ltbV++pY+XrPs7SAU7Gc/g/4+3qtdIjbgG +bge/sF2uMSopGFYXVfH0r3ZRNrUIHt7qR+crICNzt+1niwq5bLp9qMNPpQP6SXpqkglZmpa5+uIO +2SwHB+Qp97ZNN/L6SYuDxb7YP51R2S/l9SLAJwikW5luYXsn3k1RKqmLzPtxnhwVOENnSAhEIQVr +VsXRyMXFD8NTYF4PKvQZ8gyjWUk8i0lVQQyK4ufJihwJItUsE1DBhjPzd22qWz26D1BAz+sPHyex +sNqvkvBxsCyNpjVvdPx3Hy0HOzWv4QEDqulUDqKfkItzFXmL59JlHW9H98+9pgldNyh+kP2g3iwN +6I9NLk9ygZTuhuoewg5F4uF5PL+ENxdQ9J11cNhZ+rkhsu/BmWlADgxdYkheL3RKZhTuTmtc41Y/ +tbDFl7JzU02Z2QPsNjeGdqqJO2K92vcOCvBO2Tfup3ObYHFGLVIH2CM3/7O+zCXRxNY2LPN5RWb7 +4KDNsPCHgCpJMSb087dYzK1dV3sTBo8hyEgIIJVrMBsmlBfL+IgHF0BamKTq3BwM7NAU/46KVZqp +wyKVz3aHd4sbaxYu5cQNU/EaWUi3UZl88qLtNush8BougcLYvPnXyZcZAxxdXfi5qpaDuruGjhPS +B4BDa0bnUMM35CrOMyyg7WSh071+8UUAHcpLA3iIH5sEzGs1yhN3wc5tR2XxT5ycgcgbRKZ+x6BU +qa9VHtcXb+ZU/Zz637lpoWTQ8JwoyVne6ybhkxs95C2IKPvIut4+6vd0MI+EfUTOChkPERpDF9Ei +GDZYpGXoBhVrasSTvdsmr5fSVHYMpGZ9O11wOTuSbe1qZAF5e3yO11DBv1HDUreKo5WgLjNF7wWB +2uYB6jq/tshx1tf+ereLh4qSolik4MSjN1Zh9lURxzQ+iCQNOm/dfKW+dqf0Vgaw0CPqjs+dJ1+d +up3DS8RvYNAja4jub3M7R62G9KCKcmUsPkXfgEXrIzYxYNaRYb5kgrdCAz4PuwEhddplEIfaQVif +6E0317JM2XcA1tkOM6Xsvw7CZl4PwkPW0g6pJkwt1sxGD0DbtdxecgwM+34n+7cweI89PZfWYkWu +2FCFxBA7/2Sg9+EskpGN6TeUvBPsO7K+B1B8VrJtv43mPvGRtmZTmWRo/0naa1JsrhYXleQexGrr +y3sG0JqQqjtuZKJfvZzBnIN7mgMWCdAJhqapKadm4tSKgSTFrplo6PDT7QkqVccJMrvHeDVexo4x +nqZh4LEhyQiQv38Dc2uADKDllUya6arjjKpv1qisWsAHMFM1XihZ6Rde/IieXccRLV6a+wxOn7o+ +FaEO0Hm0NuesJ+xlmZ2iyETHdVlVeptnUTDLvSDV8iyYevzLkqAIDuUWT//z/bsajIZ/tg4vRusP +stBcGmpOfrPWtK35ut8wIPwZyKP9qVH07I4mRlwgidABSHSovMnrHGLl76LlfkIjCKbNN/TSKndd +6erhOyTxrxbeL4OMCvt4KbzzWmnphw8LMDh3rOvQtTkVm+rG0lJihbW2IP4miNUUWVZaSKI5X1ZD +WpiYhiRJb7HPSxnWccLKDqk/pZ9Hqi/hTeyuSx7DNHs/w+yMHa50vz8ysPOU1tnOEV9Bm5se/X+u +aJNOYhSvwY/YZAi2eJjBR0GGdLAPyAR0LtjYD3srs6Pl9fba0eKOzr3KFFNANP/TNJjtYVqRjAup ++pKgpmAgz89HHsxM8eVwWoadndCSHKkefBVYzFn63BV4P4wPjvoSNuanPX7qxTufZU3rzUqDC2d3 +GPmH2Bwu1+nxTuna9v3+7d7EhtZEAFsOJCpElrLxbLU/L2jCZ/9eVewyyie28R6YZYmM5xQ+Ajan +NLcJBjm86G14jG+upMNIryLwQThSiVqfNThBx9U/MxOdt1kjqNmwONdqZlFu1rRfOlTzqx0OEJro +6NfL6hkDZ4Cna0q8KXEzqoBgiA0X9TQbUJkVTeHNXaDuJ88uxFQN2FoyoKCx7tU/bKLKrW2UkCbD +U4Z6wdefjIeFOytuL13G5Kd+rvlwNMB2pUKF2IofVwJr0pBZEHhCwrZieNEVimi4XJ19V47yNi1s +76Sw/VifREt58G0oybkQPiOBDd3kavyxdz4+vJY5FE9OUgiWyZ+BEfMSdIfwzN+oEMTX482msQFN +00r+sKBZKgQJlilkrtDCK3QUBfOvN0FXHB8mJgRRPbicqmg8GTv/AIBb1p9lnVjs0uWsDjToJqbA +YUUKQXeiu061BNEAVn4Up0PgEmjhsDDFbdSek5YYKpmUk7a5rmTXUQyI07luoq65AudCi5NQEKmf +bmrR6K6OS/vG8SwW0AsIqmuWlIJAvmGkt63tT5CE34eWvUINw2wYaPaUZY1NG0iEJgAVNa0PT4n9 +Fs6dBxZOGMfFMaS86Lgw+nHxLxTWGvN2/YJzyVE+OMhdj8hsyXB06a4luuHlYV6q4bQy2B40HpSt +Guh277hN8iuQj6W8p356ldPrj6nEqmTU9V2KHmYTfmwh6HnP3Dbs0eG75foHPVxy/41+Gi8g0AhA +JVNb9OQwKW5iGcAHTTtRawvh1MPIVH7pAoF75Ut/YHulHWwAYPX8wynLmwjvIyLMLPPO3J39eRUF +jsgOTQTsyUhMwCc1QU5P99wRisjomxKOBZir+rP95hkkmKvulFe4KhTlx8KZxCVe6Wg1/wuVKgVn +3MlEDe2GRMq7LlJFJRDEVdtzioQRADs0AdMEJ6PxeUwIh56mwysqxprZu1kaKijt8YuHrUzr8MBp +Lh1fNQEIp1BFd27Fx5dnFdIT14dSWwxwl9qbY8xqUN6UoyRs+2/qwi5IY4t4roctAeuy+0vsaRpr +Tk32KsQ5biWNMMQ2yZU+gxRZxpfPCHPqmNGzcFluez7EICljTA1cjgF7FK4bfQEeD+SvOiAy9tPg +gFO7KKBvsgR5FcQmmLGEvG4br08cIS0HkQVUFWu8ocs9UWlLCAbETbwFAW1R33ddDzBCLVa2g4Jx +P/pkbqYRXfNhC/9MNvaFch8VBRcRSnQ0mslJcdQTszh0VHFiAdm25OF9CqpgFX15TaEObOAL6FLp +vHcMt77aTXLNwIRd9lEPB1VG/37n+1lT7Oa+Nkkjy+FGE9inDA/S3/B1tebNidECk6DaoTq1XsK+ +Ll1JMCrFtGzMT+IFuVWkziGKsdtK5cJW6uiy9v+gkjEm7IM/W5hDZGLZ+7hePyRcpMfT4rIbRQuK +1e7sXbewGiB9otkkJuFSNc0QjjNuxgoH9dFe+FXCR2OsXwnb4TwrLiqphUttDI2kyPrkB5UweIsL +vsdFhXEcc/agfdV9n0M60URNM/igGT0yz6DpopNSrAKZLCX034F9tjuIiuSIiVZ+2xmarYnn7fGO +gROpj5tn9ECL1EP8s2jlbROMePjIBd4+BI071EW3uv+uJq9aFcZ6TzvHksl2tvzSbGCD9z1y0khJ +XBpOd7dcQGH4nfdZB6tB7HPB3yPTnDqeurawKhPI3CX+2x9KMcEZz8xv9SsfypgOby5VY4v4+228 +vRcB7CB8OwKt5BhkvXV2TkZUfC1OGKyhvuPYYR8NNTOq85x85oVY4G2HSc5wY48ZfypN/nOzZApH +033aoHSoRZSirqP3MiGa9u85sVkII9HyyCB4kNUWul5tXamtkyDACl4FLX+OVVja4tOvGeK/M7hp +H5jNk/pL9PJYbeDNrdLvVAR/fwj61QrqVZsCZmYCjibT0mTYSsMqvtadM4CrICurplvz65stfuv6 +voMxaaKnuD1zWd3FXIzdEBZmv8WwZby5U/BmVA0xaC2TfrCh13bCBaumcWBksNxjSTxSIVvylsEg +Fksfl57VgtKoB+2V+ulbj61VZ9MoxhdJPu1Qrlg+pfnEYwYeAWCVQYtLssfpe4SIx1qRhEhV77h5 +88Jr5nu7xyBvJf6tkfB6ZjeHs2WnG9Tkj6yVvdigilKy1mFtpsw15sjWF3DPtYB2DFahJsaby4AH +8xjvRcqc6kf0NzyumuQmjDZGPouZI5nFdInrooxrxntfNJSL2RtbdD2eDoOPsq92Bmqqg//VTTO3 +/6lMoxukkz7ObwIKHMxU3Gjrmdi0hkYFgOxJoqyc4zA6sR9rHfBsivCye8Iac80VHftmpVdGvsi9 ++0Psy4ckX8MLgldKqHu5Elr8Yk1XnvvsW6mZU4+ZUbysuTtQnqyvzSp+yGszSy/a+7w5vEsi6HJU +i5jckes1cP4uQR/B0Hq3/tjBXkv7/DIn/TZWDpAALgLTYpvqunMV6DPpMGZJCQgwAKwishTEusBG +xPj9JG9HjLe4tGn9ASnx7tgj4OJsoYhhl3/HHBM0jbpmCu1QKBSjGfhV9PWvYtE4MH8k7io2DA99 +1i/RmtkSO/0vZVk/3qbB2GvuCmBE3j7jC9JFFLR/HGEYwBNNmVRX9Ku1iFNwbaBqedecb5OYcYBs +jvvklSjospoUB50wPiszt0TZq9SjROsDrZ1CXMwMdjTwkbvr0fz/HZE+zudU3PbnSjzNS4EPCvpF +xlAK+S5L0XFTzOZGIStLXtGTGISJYMf+Dr0qnIhimd6DZNUjQcV3NREG9mTKEa6mlkoy4J8/TcA4 +tbxtENhkwapQVP0DcmSQyhmtXKWUuainududs3yjayjHwL3oDyWVLyS6wOcvC8ciV+qsjjnTxIgm +N+i4P8a/vyDd5FG3Un7tkG1nOnJbFdALJ31dJDHnqSVlwIn4m0GPtLxv5lfv15a3lA/wYTG1InD+ +PPiHL1M7C5dYlMGhu4S0Ne0HK6BPloxa1CGXJ3cV4gOMhjwQym06jF1rrrXBlPvmnFJkxqQL89SI +o0PBI7V5QHkmXf8HMHBtlFwG7SLMdnkVQmLImk/e6pk6zx9Ig1g3U0g1PEOvcN+PnI55SEUtAnxP +K0uUlAund5KABTHeBu1x9Elx7UB6o45AVkFMHoD5n+ZKKHoEVDQoVSU/dzq9iFOa4Gpl1xamc1jU +rr5826K+md7qnWvf2cevLuKFkMMtP2l/E87Qe+DLAm35AIPgweJPkTWrqrSo2i4gpcCc1oFR8OVU +7HLFNv89KbZyt5mEPmYkbrpLJmCHNXLQJ9kOvRhgJoDxOVO/rR8yXjg7jYblnAMfIBjdeKGQpHyQ +UVqN/9EvS2AMB9LEuTO3A5L3zvejI7dHWmV0GkUQ4eTiPjh4wbjFHziqYOiaNzdbMSkzttQBUT3H +i+s99tfAWNEEAlQvBv7dAQs6PwaLxlHKRTM5fL90bI2SAtSWIkKhWkqUBnI9R6KBDyIq8XBXdVwO +BXKJK3qHe9/z1KyzJ6dXtvE33LztmF2hALfvrZG3f2/XiI8KulWLHHIfuNTpSUy9x+3HrmSBnFSA +rpHIy0uQn9HwcBSVUkEAvMJWFb+BZClmWo52faY0RoxLot0wSCXZmBvp4wA3q8XPuHwHaSdOyi4C +HtfyX/v3s5FWPs0pchs6MgsstjXakzh02oj2RUY9lR/jS61320MIy/PYjxyAK7DP2xO5raeoCtT4 +32B1JckDbRdxh5bSm3deF1UXTsFWQqPxtoF6vuY/axBV0NCthdr5rTZyCsZpZCXLO0L+4wwElGOv +h1q50kjbIFaTY3btFmNWkwzZN6pbM+JuUTjZpgHJ7wxjCCnJR8TNM3UHf6HO9x5clZoBSPOg0fng +bhbChc3v4IqopooAyc8l9Nz1scQqXstshLUCvd7gsykkKVMYAT5kn+Z2uMPh52xE5T4ORf5IstHo +OnQbR1iLuDnfwKA8G7we6S1a5ewINCgWKmy50Oy5gZ2UrfpsmEFb384WtCaTzOUkJyMSnUqR2WoC +rwotSveCmSgQf3/vDkNYUQ63iyO0qkDd2mWjAdyLSJVsE3Ydmiux+wN2phVBJOv02AlAToLXmT+L +D5v7KK4+ct0QCH9amNepLW9pCsFhn3OwFusUNQnKvFmRIyh2Og7eFgV0uNnh8KmtIZqfq5ZOs37J +YxPGatJfoqjgkhJZX0zzmLc5oHg35AZsT1P3n6fVzRheerhElwE3UFExfU2WAzYOHlzmr5Z0PkEK +cTVPKBH2lNsSc6O+8Efh3+MpgHWWI5hsEJutcHBlzf5vq0ANzUFhXBulUPb9XcjEjnB36yp+nedI +GWAQNQm2wOGs61v8Gjn6lBnKZDRWIArzBWWmmS/tsS21kNbwsESo535Xb1PIOiDkrJcLVvUfQxt8 +goQ82ZCY3rpmFiFOQ0AXvEIfU5Dmt1pPWfT8OPc9h4bfTxiFSfzxRzIFjrGhxZHXu6XLj5JDEMta +rMzXQi2WJVYZwMUV6XXLPftjBOSX+MC6fA+xRRO43HXwRsOj3kuhZJSRWyrr84gbgbhs8RcOnI3C +t2km81VIUOkV8qhk/mrdjFsIfLo5TsbHiJMtW4wdDxK57oHQxoPioBs6EheYvJ9eG9BczyPfKcR9 +5l5s1NKSWqn2PCfpbSgRSowLO45Wzie9rqBFGSz5eWmqJ2IZY/mre/XiA54sOXipjLe42NjLGGHs +Hm+gxNJ+eJy65k/4CrrCC/a+apsFOko2NfBszkZ4UdrO+tkoRdgpnn0W/pOpFTtNZ9r/84ytghOx +aDKNHw5aCkyDHAjNP70ej7o4xnaQ/BzLjPGIiKFAm1nH9QRVpNhZz1FDuk3vyFQOhA/tFg6dwK3X +gyTwMvtENxeGBuG17yL6Saje0zIvdLBdPO7EJoZSP17yC92FwmxbirOZGXMtbICTKJt3Kr0tATrr +IsOMksPdyjzzQXaB7cC6z9lbmSGCHTrK+2kh/Rxhxp+Ytkm7aX39lV83qMyFDPYKqthdYVjPs4/+ +9lh79ZjJv3QEd5LYqibrN59NLJzlQaMamd4yESGiNkJeDq7TZQ2xs2z5M2iyFCzBQI9XKL2cYlRB +kYvwHhRi0ZxVmHdd5F/kOhtOEpML8pCy+KWRNjjKcY4uiQW7srALTrSvwXup2kBtkByVfFWKOMKN +dzkqgMpGHIX1jc46B5BA6jwlUn2tgztoz0RGG/t0K+UGtUogH+0D5ldnOvNhmL3aKEDzS6pm07kT +s4LyGfwdwmKKuHD3ZKSUm/ssEa+1hDoZ6n3LIPzpecMeNUilSM+Nf+4X+MM9TAOD7B9IXynQ9kFs +9mXoh2po5DJUnRFFroGfLas+gFMTSYCTkTqfPaPbttxN7Efk0aztbWjCZbycSTfD2xkm7P8HG08y +0PDT/Q1YzyMt8LW8+e0O97WuNzMkoAN+MaT6Vmi/2PvIQll/htHrZviNNutJBMTUjFssb97V1fKP +t/23FSUnXqqnEUqzagdwCOo4Zxxy2TL0BS1bThjYlwKSX6+f15hJ0T0FAGC+5QaJRzSwcmjoZUlt +ysE2fXrfFWIxNhfb8prL2LLypMrRvRGQtwf8urwY2IEhv50X4DHlfxi+g9rF8vO4V4xpPdkVZI0+ +w2oqBGNg86QDiOQlPQMhErIWg74mBOo5aTkUHtwq2lgkmD1wjm1vUf2BmOjtRS8VwNOs65kftcZU +3qnP6n4zRZnC6zpKBEbbJiEh3T/drtlIcJGtNqsiv2PqvNM3/GbOK3iixqMwkZctYcDt8kn6/c9N +x+qsPbiWv2H58y5qaEACYSVyf/xZpXLwxPHqOyZ3Ea1g5zu3O+3A9IiSmTTA1HrFYUroexgrnnMI +LZS0upKSd+PnpVpIyBPvG3GJKUGVWIFxPJXzBNaCh9QJ70DLG01tpmc4UP7OkoM2jq9Ma01Klw3y ++o6HipR27BMBZIEnS/AFNluy+pRQH3MQgjvOu2vXBbHXjUPM0Z0uOpVc/DwK1rvAoj4dW8Q3P/yF +xFinY568WwThCkWsowEq0MZqbY3tGxhXN+DvRx9ikAjMrHCh0G6wjwJwRJUN/987NG0BvjiDvmdL +2/ZW/AWGhYXqGcYCH+gNEAvGQIgYAt2ySdTrTmDLNlKtZFsC4KbmSAHxOdrCJA2Sy7Cc62kXf1Hs +TTE0vkVkSi25Z0xzqO9DzwyGenXcwvySgIBhJMS+Eh58CBWCqrH2kSx/otZybb8VNZAcbANUXSpV +pJEtntSstpKVWcGhO+IppEftN/YW5NhBQe8PMXVSlhDPopXgB8yKXRMLnru6glIArevq0fdNCaPM +arx8y/Q+FAA/Bg6mzGaNy6oiiTKIwnEO1pz7wt1E72DmmFvN08RJ87o1fmQFUIYltZW2GsI8iQ1o +TeYXFAprby4epYqcPor1bLfQcuXY127kGYWwvqb/cB17HM5U0IIIkTTtNa644LRtHlqPj2mpQtQa +3mz7dp2s7j8irldtltguP9ASreMpTcqSKV9dv6JQaUNBXeLjwtlsmnyiNWFiZEwYAj5humpZ6ISY +qGWduzq/7Js6EgcA7avnf+C/V0WBgfMjzJiibKMooeIpHurm/1JVYI0ki3qvWwk9YzxOmEQ9rv1g +eiV2W5uKHnyRCBG/4qilYORTFeZy2FCbt+3LPtlg8nzymedpgBExmC/jZA1qTDdOLsixHk2RC1vm +34q8FKnyKeS6tIQRCHALRksc1AENZ4KoC1aTUA9+6sHyj38/ufh8TI69kZGRaB6aIPFnn6VyaTZI +SyAwX9j2CZdvwaIKF9rZVZrWEmdpmQaDPikf7lG9VLY4znzg2JmiRgf34xyRiQ3LgOP8e5+/3Eic +Oa73nOvgoefV58Dn5Lcv38d+3oqO/ZPhwAqZS449LVJnSaV2riKMeQgkHGZxXIRmHClZ4bQiaJtD +YYUoVzQS8R0F8Ds+ZPcEmH/B9EVGNe9xAc5NC//KTSMV8x6ci07QlKQslkxJDSW0YNUUURvLVNQq +tJLvg+Ppct/5FFtfb2fyNRrh3GCNJt7vVH3MMi/Fn42rptovuzA8SfZiuFEVcStCA0yRaFIOcgbv +l+oKfFTz1dmCLWTAzG1fkvfkXIm+Boau8mIbOlpYiVPnJYcqTTtRURLkjINzz0TonO2huBJTmbNC +rv81UPWUP6Sr3720yVNnnodgxvRtx6sxLfZPoXPu76OOQBJBvjJUf/Jc8zNJ/PNNtvYvwFDSUcIy +EUGlN1Y1FBwyAi0s7/8WPLFgTl+LnUgRzfsP0z3x62QZzjZaC5+mC0LbDhSD9YbzdWEfC+5K7rFJ +fq+ccvbDxIW7opLQFGlrkmv/ydPYgs3ZpxcRSzFV384m9LXF+QLg4DedzY5NQi+QELlkT8F4C42i +z41quYIeqaE5ZcVKzqTnAcdwFjdSGnd9fW9MQdakHkacqLlcXxJ9LK6Ar2NC3zarOjsFWxY71VsC +rdFQMr8bujUQu58h3SBVckl2V09WDREZZLvu74/GdH0py0ozpqoVnkpG7BA8wFEA8YxeCHEi4nMF +MOlTDvr/1PJzmCX654XrE/z8j/Wd1d3wEWI59tJ7tOJCHBkyu/Ae7ft5Bn3mbM3LvZSNWGLAuyUZ +cnFplLZwWmgGKpjPyGhH0gfKXRu/doGm91LY0FCl/G7pjOv8SgBlbbHv1EqGev0rc3KqqUg1WsqO +Sod6/puK9pYUAqOWEg3sk7fgOHenvaxKrS/c1LCaXIPQXWHP9pASrtQ3Io43sTekrgado33kuMyj ++RAI++iXqG6NiyzGy5wvbE0rlK8/HnMioVgyT1BaEBKdcgAxWIwUujw1tmHGZbkNFnNu9InncGXP +HbPDGhurMC29Qg7wHWst9ih3i3gDHlAPUtFk1YfYLWgItGfkaRbhHx4YYrwEDXKYj9CZ34uv4HJt +AXOSq/d0TBgjw6WOgFSg0bGOk122mw5xTcrsWPVRDinZgOv2JoCW07rfCW6utrt7QcaJRJAX3ali +VYH/e/IQpTxg5wKcoJcMF8PFCpNOPCtST5VjtnDdS2pFehi+f/5BxGFV6+y41zKlrScdka62kzMW +GktNY+EPeGodRQGoU7TUre1j3qiOKRzqcEnLXAemnd5328HKfjrDwAy+fN6KFxfNHnOx70x9Cp7s +1pjycFP/kl6ACKxVoaefvuRxVxMMyyWli82sGsSMEfEHfoqinTYP6UC6LYOYv7nOsjhbgny9Se1+ +ZSjVV5JxGVlWJjelA4zOZ26dstNUd+eWDHmwlduHIsd53YUN4B4v5SeqcwKR1bu5pQ8eMyQa7OAU +kdzew8NrPOccwsYfR1T63m1Tm31RwLQ4Q6zAv6Y7AUZGaq5q0yne9mNPMLxrZ5Bq3TZm+ojjidrl +M+4XpbsIIjPmSTy8DjGWA/xsBUr+9pFWBO2J9hV7MEJiP/yWUywohVz0kzuvJWSSZgi4g6ytfz+X +0YSVQk9pK9UXi3voVkOp3twp7sS3kXLL7usapCwtACj63paepGPfsjF9jILT7oywQ++PKEX0ap+b +49pSrVZWVOrW1opOJA3N53k7bktAim5XDKJNiy+BPkGlQkHHQLI8YPJw6lfyi/Ty/tZ5Nd9tLPnL +4g7fOmM1d9Hi8vKIJNew9plmVfbLqB/PPFXm6tgzqiNmKhGNi/pJhEGICyUPyZPDvsO12ELhZh5A +MV4+dyGUFOf0XXX2LPZWCXqcVBKm2S9VpSFrXgfWeusAIIh6nI/GCrgP5nx4ltk9hUyHXnd6lRfj +e8SqYybB93xtwp59o5yynPsik0c/98D5J8N8bst0s49m2gtplpujhsgO/Zi5LSQXKZxxx6VtMWGg +URIZ7i0RpzeKHSy4IjbDvDwyXMswnUy4divoYMEXa9BS3s6JdkL2ZTQ4z0dNu7VrwomiVzlyERd6 +wkyghNnmq/0qc3JVRLOQjQxj9xnHW6k0OdxtOBBUE6o6gwHKkhT4thSd01jGBHNc+xeRuYHH6FsD +MRRDdiO9AcBmAdxMLVsWiXnZE5zqRw4GmxjzWTBndRdcgLLs2MJzsG4pWRs9emoter4jKNM2LGXj +6BtStMdekD3XG3/fIkkulmxPC2ZmQVq/X/wZHlKqiq3eBwD1Zznzb+N6ArP2g3r/eKz8GUXKlynI +J0WZSZx+8ZA0KZEX7LtmgrX85UHGJrHFzLJf19z+uVUraUayVsu1ryhGm9zFuYHuZr0xi+qPef9y +UGRrM9BExdHy1AD0HHh0iTxKlGGEU8U6v9aGeBColwBiLasNMVQRFeRl0eYDmJZxlHZ9L20FxXR3 +6fKOyEUVXNHgrPFCpunSWnn4DNwsu43+O3Z2aEofOIptl5Z66LHmW96deLWWsoBno5GVoBMRe7Hz +eI6JcLF5vtySoJ9M+CKratVdllyv6pUe2XC8YMDLCYFmYklRiHpINLY2vJ07rP5FBk1BkuNt31bM +96xHIMG6xCzYinX+dmNaM7bMC0bdQycC1NhGVVbY2kAGZYjDzvhXzzKl/NIaXK+GvZNR4dP6LiX5 +pnF6IKl+SI1Xsf1IGDEeC6VNMmWNsoZndokylB786VH5IfnvVyD7GCcL+QYZp9OQ15ipWQSnBwMk +/EO4+kk2vvwQnTbfxxtjXkWFVHgcl9GK7UrarfvpSokzt3ZLS/+4hMswnN38+cBWtMN3DvvA5PRP +1Uehwu3/vhHk62I3UIOrKezGMi40dyXbWyOkUkbFLRHrFxidZBwMXkgFibjY+Zm8+kK9l2YUORdA +/KDZDFXT7KQmZz5Xv2H5pqVsCivEyruUm+q2ajPJa+I6JsFadZhfK/E/zuo5lRIzN0YMkaXqrU3w +lmgn9lCxZfc/axKsG6ycEoK5wRqCFqHw0/IJZD4et/Nw1HBwDoSjQKHznHdxqO1tt0Aepjr0qNrq +mE+Zk5Js1Q7QBD2oqPDV2eM9OzRdDrBGuSfCKT1lG1fZU1LvhOd6joyfLQuS1L2BEjoLCuf9ot0a +Mf9Ni1y8IAd0onuUTq0o7GVN3dnRxinw9t+mvzCR+XV1kw0Hywf0PKJ++bi0sPpDFyeL3kaEG2aZ +28lfFwlW3AMU7ONtQXbGeThqsjPKEGY2oIdAz1ZwIV3DD8xNZSrS4eAgUkvQWtBopB7LoSj6AeR7 +dUYBBOKnH45wvKxv/GY4xi4RboQ5nXa65PmkfXE57+TbjzteKhqEHNUt3LFhJI2IBf7w4Dmz64/q +o8IQ56uQfL+690vGd30G/YhKX2RPzog2d6KOvQYll3EH4T7vFon8unPtY2V+ED/TNhIQQSH6hYeL +FYGxoJEjhqP4/Yp7I2yTrCcpmag2Rkhcy/2LWwqhaL3Vz678f1kv/6vJ5a+ncrK7aNm9yjcBl6z8 +1YlLMKhFhdjOgfx2sgeDogQZ+sH08Z37Zygg67Cf5sSyio8To7LoPlTlRRGMNWJfxzGxsZFIww7A +Xj1DiFz2oAV7CsGJ/yK6N37jWejiC4b7mbH9dlCyNQnEoFoRJLrBcsl/AyVIOZJMs0niz1/qTi9C +UbZI18lGBgCKyxjOZoDDxACmaoRt42Y1DxF/tyhs8ucCP25cvw74JGw32k67NuDKgLAihmtpehbI +XOB/dS3GKbHG3Hii/YCkcq+DpCzusSUxT7uZ7kBZTUWQ96tfYcqtQD/TNzRcrg21So8JQnErjq17 +JwBykiRYJtK33st0nqogcS0/UWxiV5wb002JpkuWkc8EWniK0zrGOMekVDxN6MMaOOsfAk+ybJE4 +hsX3tBgP5wtBczlvt6N0CCndmGLL11W9cRjqpZYM52uCWujYLbqImpk+vPsVuRDzIt4LnHB8k/B0 +W2VcRf9KXEoub5zdbU/df76kviOcy+SNDcgdQ4URQK/gyyPKmJA43+YXmAogxa/wqCglwHTE0Zkn +mVmMY1VNVAhsmUKDjfX7tDWCT1gRaXYB3gXlNp3qXtrL9EDanservKYYnAB+wgjt0hp+hsAgRdw1 +ql5kgSGAvZBYSGaUhnFDhsKDFDQN5DxY96hn+/MI8JU7bjtgzegod2cZdyP6I9rrZFWgA7xrbAl6 +CYxwk6uwhv5ug/DlRC7DiV8YwfVavR0u3AkxbRarPKNPKozpmGbvmswWbTaCv8eq1P5kBzzhnMAr +RalQ8FJmG01az51Xt5/+/vbvLNUIQP58mjOJPOdrz+rggor/shkyIuShcsfVPiLsZvgj/w1rEd3P +U9KhIwAITNVRLkEdWJMRky2lhyJpAyXkTvhTQdFv9D/72oxSXoJvNLo4M1orxEwUfndGnQQ9DPw8 +sJxiTHpuZNrEcP4IMKQyPZBRESfYBI9pD3YioRYQKxOfJuyr+ETURtFr3XbuGDgfT5kCvSzWWRyU ++g/AKgZbJAPFuP9ePSlUNJblsoo2UKkzLhc6TlD/MKlN28Ht8jyq4o5TjZuNPVz42uK71BVXjVqz +UPVEILsXWVBz1ObqcVzB9wxqeNOiit7pL+z/lSsVkgmWWXjekTZ5fv7pptasNoER3AElypLkxF/8 +RG4uXQ88ZPKXIVu5ZEQ8cuPpKDyml9PJuXUvUpsD1hUmbo5tlMO7NEhF4ouSIdFsU/wdDTiIXfDd +BhNIbhmDZTm5pZoMnME+/80WcMwN5zJB64KP2SzBUAbpTLHBkICi/AfKL0hTJ+0Z59DFuxBoSkxR +PCjXNNBEuLjzW/v3r3Vs2EeO+7AtGREF8SALoEOcazpkADSaChHt+cHzCy5Cx26tExtyyNiUP0Dh +CVHte0PbWAe3wzAnDaiN/W9s9oYDfHX1p7FU8iaZ8KKR+B2Tk7w5qBikUbUgPz4dlX9GLKmj57SE +3TSxd89Bb63g0FhwvdzAsggKnW5aKky7r/51kfteGc4hrnu0mfnuUfDobCKxq6Ms8gbVH9V6OwaI +bdmXDGIfMg4d+fnyff2T9K3u20SN9uNmJj/pQXBGM5Xk7aHEBgvUo34EOBTZfR21kR3mG8hFZqm9 +LQ/dkfkB6rFc6DnwhnYkdxAPGrO7EWYQiESa8yiIFbVHLvtAg+3kXHFLhavcVRXP74J2yTDQSjbx +sxQaCOE7eBemQEe5g6pH00iImkZiP+ZjECtxhhtGFk4PDby2FNzv1YYVCoy1FQDGI0VSmQ7cEPpN +wg+B73lAnLWIN74KLDtef/dWOY1adhAu5TIXEMuB/T8pG9h91hxaVbhV8RYpSYHqtv902z7uvnbX +abqwuJwuAZrl+7ZDqIxoTJOt5iMwcK9Kq/wcsdHR4D1gIQGjw4KGGttc8/arXIH+OFQoMO0/Qf4C +h6cnHO3rSkMs3mJbC+xa5UOx+PpDXs56xTjeeX6DoNpf+O6bWpN5LEcWTFsHAl57QG8iFVGmG/dI +4YJ4Qzf392mZ6Wqu1gsVl2Tiwhab8WgUNVjdZu7yHngDyUA46TDjcMHSA7+/duaoCEKbE8IjxEAc +BaA6JNUFG/jUDEQzE989rWAigb9r9G4aFiovNvP3pMqu2L2lpkLTeZqqIqc6TVK97+mytZp2VLZv +dnIAm/Z95EX7HoGiosqvhUU73y5j7rFaB1awQbOeVDilbG46JIl/k0WrdQDZQwY1xVmuxOmOWO3H +HUlTIQgnOz3dc3LHL715iAgSpSzenpqTosP7MLRtVdpVFqD0/pJ+vb80mKcn3tBZjGY8rBUAxNed +52ZYKdCB3Pj/LYGBjhU2BfexHXyYmbFiZiXjhGULbQ57v/Z3gQDDYQM3T2MgsUMYS2gNc8Yx3DjA +zQ9Tqj/18LsItGJlxpK+EKWBXOdTX3ihSuGSMGdjnZ/xWqnC4CtKnNfORuwF7sGdcKI6pYtTVXTv +ytjTN//9Q6+5KdYG0F/GL1YlU/upOJAcD/BL6WdXrDAL+afbX5x0xa48atOEzby/KlpV0pXV6C9b +yol6GEzNiO38up9MNeO1i4gGZPgawcHI/ChDKWE5KZR+ugjgF6nI14qDJ+WhIdT2QU2ManpqMzYT +gj6zblp0pJHJWQ8FjUxK8INf+Em7iXy8qUkJFyKJi16axpK1NLc9DqUhGQIl65J01ofOgWKt6D5b +rvTWLfrW7Lf4qhns6golX8aBctaaauhp5FT83/x0v4JfKA0kcbi2x0VEwaEg2X9NfvfswBBF2oqq +JhtECxB887rZhP5S/bUDgJXPqemPUgwG4BslYMaDTL1mSca2VHPX9kJKq/OZAImK/JsqDVoN4qs7 +bwSUHYK0zFcevbxtt3jw/gM8U2pdEE0+gJYItYc6OGmWwEPt5N8skZoaH567aCXdd0gYjaxbwfrd +G/dtnIImbO0w+ImSOEefSFSm7OeXcxj2GKsl6A0z9Hi1SPYHEbvx4XRWgUVJ0gZOH+E6kQfT3qMI +mGYH/JuZPLGIEkHkUN8YnIYSOzkbQl8cz/IcB8VyI9JUT12BYrB4MaP8s76pLq1CfWqm0TZ56SaC +NwBkaRHVkgmY7aiJQ8Cst3QLCuXLTQKUEDuprGDlFvVhxPQwfcNix6jetMKejrR7R+au8FUZTTPw +O/nJv/DMqJYwcDDfn1fXTGwxTpJ2fMrFI8NsRNyj+JCPoxvMAyWHgdRI9EAZGQuMOAtLjyKd9tZv +/IX0Zy9YHCoXKA7DpZs14KF0wLUfWQ6HWYsMScpyjRGi0UjQNYwSo40oDL8AvW9X/fbBObTEEuYM +STnvaoaq0E0CyLa5UdqAA/MRU60vLRdZPNSr3OU66H9D0Js8m+idRVZM6aFkt7UmdYbL+pKAHVbU +E7QGVVCCXWZD8TB3yXfCk8r70NWacyDCBAjYYZ0cRrLuiKBg+et2pATyeQ5kFVPvN4Vp2e5DlaiR +psyxoB1f4yY8vsv6ZmRhaoTlGwnCsfQ8aiWrwpml2mLf3FO90HDeU4BtdEsfUM++MahsSt6FzqN+ +78IqMPXS3WBKeHNfeLb2CwGKA9Z2Ifxq2FglQtZriaibDJb0/qQKfBF2cErbosHOlxRp4tUqpGYu +Zy79YRM9l2J+ldAfRYAbC0mq8+9eExR+2YYQsqaX09onDnd2k0HI1DVKtKkzks4ip1mhBW3AnEYe +gmE3RjWUJko1lGOzWlv7i0ELX56ZmVeG04IH5VOCpnlZkPQzyKPRJa2ca7V4XXVe8j4WLrNjiyaF +qDTk4buWNLKW4LDF76kUltKwEi5X9zzO8RlZzEecsss9BlBMlhtIPrP8S0jboeu5BSlqNGZ9KoYK +Jf8bq/dYjVxlf0SEscZsvF8t/4M1t98PGc4kTSFv+rYusP1xMMX2SkSujgyycn8z6WOWfFQqEUKg +9lBlBHKCvpx7NrLSo3hsPJKgTD0PSC98HzaEV1/zGAh+H5l1aeyJMYsmhMM7an2/FlWzcnpEmV4r +ZDshG7Y0Sf7ag+gMcK7M+NwA3Mqjq8tze3F4wE2fp5eIRkdU3gdFCf0hFtIX0uutWqJU5m44rfb8 +skvT5jNheCJHJyz0jInicnGxS6r6KXsBtj3QUMN/VstZz+KR9/eLI5kdix1LpaSFki9DQTO3F8PQ +Lhj0j7ffr/7GKFGr/deaPkXrEfAkBJPDkDhJbuLP1OLk9yJA5twp3ZoAM0SeNa3Go+SaBKc4sx4g +74ioz+KyY3bukmpIf3Cwbj9Dbp1c+9Yap7zL97JI91uZCjDqdXc2cvcTdtLa0cO/WSWKJrjOtvS2 +Q8VjDNV+0dhB4wSJxgPkKI7366aUSPwAz2ztDY2P1RriAT4udAJ8LvWVD350cTM07pGnZhgXRHYb +C19qBspSsny2/T3tofGYlBgdwbH9NXrSUn2EUUR5cb0inchJ75sdoruoVaExtcdfL/EsVIP3/tkr +8XkOhMPDpiXMZcorxoXfIw7+PrD3i4Ov5N11aVlIxGGsoSnQqJRupQLxa4sYatn6JhfqpDMJfp7q +hsxRrZjjjd4juqKzIolZfWtNVYG6OAa+R7TBmuVXre5z7XmbA9vryi8aiUbWo4oyJhVed/iCYbNE +AXRV+7G9ftbjXPy4Rp2FjzRdUEOvw/46tBsUuxx+8cyUJ6Zv7bioRhDM8oJ1p1iiU29vUOasMCLU +8LNhJcS9qNjMUI58Y6aSqZOoUgafc/ZkhTmhMGiVXZb3uKWZmOot/O1nG5eE3iDSsAx/QzupGqt8 +Jy2QkHs4uq5Nw4qUvyg6YEYvwV2t3TDCOJRcz+ttQlyjyOSlFS4kt83UfK1inN42LLaMY8v+L237 +VpWMtLHyGm+o7j+0PfM5cmrtkCOCyfE+kl2qFf5c7bMKJ4VH79AdTzj2/IiJIlH3K665Y506c8+U +HYNRjTagsvv2xm/E2r/T9Fv6kPkcamTu09I4eY2p9f3Ylyq0DrAwZ4saVtp5SJoJS5AVgUXhMf8E +DHAzjL59KDZ49nqpCKgIlfUYprA7/QXSVn441Nd6q9p9iKQqGdPThZfgZZJ5OV7tC5RpcFLJaM0X +eDKoA7majkPfEIwGv9FlUjBap1KYW29+yj10fttWmSdPl08bSuv+C8oCQXUIW5wUYuU2WomLC7Mf +IqVCdNoa5ru67o9Sim0SvCsY3f48C+XAJMmOgsHLYHjAFOBYVb28Js/yogsne+8b5HQ9LA4U4p0V +xOOigEcMdzFELjvfHz3irscomxyl8P+wTpFDIpLbyil6rr6puAXV6Bfg1uigK8NH4h+8UgZVVKYy +D1o9Pt7GZLeprg2Olrj6cyBric3yEf7hPocNK9iZQ/T9pvPPFd60GON3sqGQMUF60L6cGoQLXJkZ +hhp3+0oPSXq3USbwY2yLjQBooWEnJmknBv95OwaO5UvcDy/NG269xASRZbvMlCxk3EHpapRZUuFn +leVjTiLHjyz/1wSGdcjqQw9A9J2GhFHPWH3xAeSZyRJWTj7zochJO6hqlbUPusQzukT+s2EIDS7s +/ZDBn3ZYwMYsJ9FOA/XwqehoVJNn6qG0WbfwZfrbMYAuG7FTwfwkgVmnbLm+z5xdJjG9BagJEioY +GH+qsiH/6hSpIMcH0RapuIzqaEk41qXZSFbY7TZgzbHeKL8eUG8nPmsZ6XFI2zWdQQ/p+9bnuNug +uqHcDIHRtJXD1D8RgesMnHAWBovYgN5D5PdGCVXnL6pcjKEkvLpSznhZSCX9nUmb7Pby4MROxMUU +8KtGwdAQwVMKi0a709Ua0ir89LkBSJ0Rd2akdZtF1w86xHl57enFC8JFqyDTWTjO9K40XT/TaACY +PlglPfx0QYsh0yPe9cMDIQDuf6GnbO2FtxSyfhkt0nO2LoIl5jQzC9xg62eXwWoPLqu4evBut20d +zU55bFHMQQVGJNWQYCg+vrtUJjMUlxo2DoYkrRkEdPIoeltsCs1rlJKYrGx2iLqM16z8worPE6Hj +J0J0fLtjX6Sow2JbFaZQ517qazKmyExlTK0znZ3WOISv5mpp6eq4agJuNwZ4msHpnykO0ITJk7yh +ebNayMV6vvWSZK08CIveiGUvyADtM9ocFndchvmrO0+FhiwDkA4HZgf6abuVcptPQycygqZ3Jp6Z +hcBONn2NxdiUcv+eXPzFvCBbW5QoxTji9Jkh7c6Kzmsiwn7b4VJnY8o/Knr/JnpSFYQT6IB/rDiM +OhtfCYKR3Y3ayQsX9XAjH0AdicbvvgmQvHH6oqCviXRRW+K9LPGCURk3u8XZSX4bnUym0Pc+5BGX +UnlBY6/9SBvddbHG12hkCmCkn6eHIBhUXXoDdixEzni3hXQ9X9r13pHmrOPrwFyaVAas3CdTUOfJ +IRGIC7Uv0D5r/XihQ/wJ/KZp2S8/JCs+aZTdzecPWJQ/GsuUyEOjj+Lvh7N0DQTq7CQnSx/i9i9X +xJFHK/NJKUwZjWfK+wt9HPEh2EwAOqG5taq924m1evgGDUzpVuAq5GdiT0utKT31U+sPKFsfZBlg +ChpBY81KSDRntdEKXLOwf99VM3nMHDlb9Py44elrTlS0E0tu8J6/ZMCUXPCxPe7XW46knnFt4uhx +G2K9Ej0MIDO3k2r3sHpX9YIHM+w1z6S0wM/FEw6pXO3i+SoFyeUbI+Qx24FcjdKhi9tXeSoeT2z9 +j6aFkdVaW2IEM3kV42+r9q1QMnD5UA8E/O4l0oe85RVF//ci1iczzrcuZzrZ2wpjIoPtp7xLWKnF +9nfhIELpYXG/IaEGAPoSScmlGqfhzixxeXK7teXbQlBZutRibzN9Tn01Xg9+Ek3X3Yvo9LnHDne4 +C8+BGAKxZ4u+jNWSbKkNzHQCK5atPQmg/1Px9AKENACT07IX+NgGRdzUaPv90MClcLrw2pys91Vu +cceM0v3XZ4fR3Ejpn0zuSfK3vPlt7qPB7etOHjrdjhamMwtepJ9FFYxsG6jDeNf7pn8mlaOFQ+5I +Clk26WiPHQvq23m91SzRNQlZk53VGpKvNxMMR7YO3NlvqQtE69eMLsEZCB8N4t49y6dcRpeRdLml +kc5XoxCf5BGVLmrdwopRlDhDT7G8T2+gHUY6PtPSYKi9Po+vAEZz53S52LDa9op/e3e1/+CfkZW4 +dT0CBiFLNX2rq/5S0YzbBKTL029T5Y22WBy93qI1DVekzS3VLaLTOXRFdfCpI47F9Ed41ZgT2mtq +4pSQeWpRSZcxoxl6zVqVjESar1YlSGgX01DhhwWymrtzir6MPOJ7KoSkjhkGqzHU+Ye4wAAQHV+s +1T8wGz46bwdSMWzH3xgSKoAs4DPthwTfQmtPaChJvo059Gx9LT65kALP7mUzlHiDwSl4dxWiYrHw +K8MBKirWt0FHrFLqkiFMl4UVSIo4/kUAjDxaqDiShUlwV3DwtjLG1R3bmzNT5MSKLx6F92+nOIqK +hknKSElxa0JCyCe6GIY7IMrVBBQ5rs6oeFT/aF1Sow5maLCHaTeq5wo3UzjsS158gmMjqyqeiDkC +/a5QgmcvCIcm3meoYMFxb4AppaNDPxsvpHQmhsjMEhENL2DDOYSPw9Kz0MZMafIiuLHrbgbnHr9Q +zgWvAURKh2P2nsnMpOG92GI5hubyGmgXhRid28g1YU6rTk7Hl0Qd8Sn+AdIlQeokav3+xU4IpCz9 +eL2acekNS5N4XIMjsmZPz9Nny4puksllX3PFc/kTs6L5SiathR6imml2qaJf4lo2dHkzxCC8/dPM +4Rfsv2ZM7mZLQN2Sus/mV00Rk4L3NEVubV0H33p8u9rvT059S9Rq+N3a1eWZSTZF9u+jbVK76fGB +57IclrpimK2FJf3WZVm/n7hTZ8LnoSjC7fCauzhU0saC4D2vpagxooGpumL7VzAtq3MqrsLlBroZ +bXUcUJoxoKM9o9yuAdbCR+P6pHD8BzAMFUNK8glhGtu90W2BmwxFLlDucVZQAWTrUD87jA+ck8en +8Dy+g79/bjUb+VJGO1cTv1hU4z7tMYGVyctq99awWIfJzVbu1cOjzoFMwWWObhL0CWhJV1R67R2c +Ii/YvpWCLhKtkLqOjs8pcsMkIb1uBjcOl53ZKIXNZ6TjvwgDVrHZ1DkbI++ZgD7KWvavRQvs3/1W +9wqc3mv0UmIwxOylk1y4PpIrT+n4lJ/URvgQxP2v6hGo99Yc1z/bSDeByp/nt11YtYQu7CmN1cfY +P+MAKoC8th3IrDLEHs7UGwIcql/K0T1/K+/er6mmCU0+rsG+sV0w8lA14LDzgLfzgrUxXWytDutT +68uoL+AlH5sztSWp/L7CJqqvg8m4VQWbwGPflG1vle6yhdtnOq2UcLiy4W31ra8e4jS8HPczKjjs +mBMANX4zOm3T00jjdunheSYmZYiGenPiLXKO37G+8u9Llir/R0pe6yjLG8BgDWeyX1sP7IcQBpc1 +R3evU6KWqZs/vK9O2KF9Ylrt3m3Iog6FTHlqCpNoyWpevNTDpqKhMQp/fEy9V5Qny8pPHJWuByBW +XW6c4LPE+YsNeiJJam5h+wnMOVptx92r7kK8Nhe5m56A+aEOYZfzOi2l2XGc0wp3U7B7DwIioazd +mjtkZriFe4rpDmkqIi/a4eoiDxBJIOAm1xoL+jY2uCOJ/N3j7VoUKqlQoL3LCl3aIH27PCnwTh8p +9PtD1Oefyp7lRlSYxpKaViZpB0G3g+xi4vQeUXqIQ34fGDjY6+myt5uxiWuiRMfWJjVh8nCd1xIk +A8RXRUMmaksVUzHd7HPIyk63v5FY7Z77HmgmdEC0e6XGu8mY+pZnJva+wea+hDcdnsOipNapzT7j +2O+Ts5JQYwwhRl1cumyUcHRdKEsyQl4+NoGg0cp2q82OW5BNmQdFBKfUPQNTtxPtUzzXhH4m+6Fq +4G12iZNpoCAhhj02BM1J5M48e2EViWt2oFZgw3OEI6taLSic4e9Cb++HCO9brsiU8q1EuDh5euHY +OYXKx3qgElnMMe1dnzeVC/wDvXmYjn91FiAuVDp/qxxzE6akEQwnhzV9Z3g4I15ZOrXmAZIXxz0a +thm24Xbhr2u2rzcStyUW6j+v1fGJv/3l82hA/wiwfkmpAGbpkGpUeTTs8TF/f6I1TSzAkLW+M55q +Tv3i0fMfWAcTD5bQJzG3iz+ghdgBe1nfnRsOM2aLTdfGhV82NVcIb+W7U6cCW9+3J4sK1fSfb/sA +6ooejHW37BEI3dwhJOHoaAbOAVG0BwpAVg35Eg48FJCjP67BzZiJnhSnnlm7ZYHcjFVZyP6wdwrs +rpNgf3+pcqXwttCKKVc7I70sahvAYvSHqAovdy3RfG07xwJqPjPZwMXRKnke5BrLGufA2ZlkL/Pm +Mm5N7SdP+Nls1/kv6Qj5zxQqVlPnCiskCCEq798rEBv2V1TwEWew7Ym6BHjM8c1eE0ALROjDRxnK +o4jbM50yhA38686uyMZoJzVNmrbpRRDfJRJoq2IECQ2dBrzePl8G/cZ+k+eliTNqdxvn+fCBtIf8 +D62gE83I564Tu8vbuEv60fy66R+ax/ZyQ4HvhQZNVMP7PHFYLSHFTGG1B5tf6zNfVka/SVnOiyCv +JcRosSGZKpaNrD6MShQlpRx/UuC4CKsv8erOB1HP0vnAIEHl3QaVMafOR1b9xWkS70hrcJXNTT4I +2DC77VK2E7XOpi82GUG2BH70EAYTgLj61zUcpCvykDRJdKbZIvCesFde8TlQy2gFuzCAndgADYt1 +8f4l1QtQn7xldIGqtSoY4UAD3c9Hc71+5Bz9z5FoDdxeXhoyKh0vsnsDqvpGL7v8yz3ufu3KRlRe +zEhWtJRGOk5LZyp0drZr2Gt5vvbF77+UhxOfQ+ppgCPG9Ki1IH66zsuHeJt8d8/P1muK6A+PabXr +7OtQ6uIrkHXCWXXPevnTEPeGq/7npi2MQpdpFZEFC16VK4K24Zaah0usezpR3tAkjbavaa4SwFC4 +uT3MKUJsPY0lY0TjZDub5aVRcnvT9idy8Nk4xLmdidS1Rx1h3uwbC9zkqepzt7ChQTv+wFLszz/k +XMA3Ul1bFzdr19urrFKhzwgvXBCUnzPr/4QhTk7MJCoxMKutgDnGG0NgDVV6qNOhI9T5P41cmqvN +28aIq6kL43fu+VFRLwFU16WAowFrQPT+UBwlyu26fzsD51b3dmbzjq7WorMIhABk4ZY0AQa6HYfU +s96ULwui98vYPcgzCoTr0dHkqQ4TrMj5HaV1h7ulfW79c5XZgbia63qsnREoTL9czDbddwSYC0wW +EAdKV5ZPUWHeZe0Ig1TxufW2tqAUo+1Wd2LwaXV7srIqGW7Omfp+s3hwbjuqu61n9FlGZTZX4rmr +o1xhoYeCNB5M07I+y9Jg8tjHRCHj0m+cZSDfjULOyMUBlxwWDCYXxOctvbHl/LdnG3S66Nb4rXUC +o3jQqP2nUE9hzjSdQUT5qHeZ3Zi/5lzjain4aHy0gsh8NVmL/fL22RfCjvsPvbxNG49S9JJE57Nx +Mmyi/XCNZnZNAm56tgrU/m1wNDJ5ePeQzycu5ACW2cUS915vRIPyz+TeA07HQWphhCpjMFsLtTOg +Eo+hwj7axK6ABpBmQ+ueIsAqvbY0qdq1fYwSyrGj47vWvgz5wZy5Mwx6vz8/y+FbmZ8WIt3ehkIe +0xQi0Ib7nQ+FyVjAZKR24EA4S1fCmGZWlJFXOSYnh4zaHOpYcolNojPvJk/Of3TJZFVwbmohO74d +LCrwz6rZVO3d7QSeHaaiyH39ARHEJgzZOkRr9Q4T8QpvntZv41+QoPmhQEMvGZxb/DSkN47NlsX7 +d0YubAScWWuyvrylKLggVeuGb2AguXAQa02lHwdqvoX/HF2gr9O5SBXpDgLfGQdgLdk1sMb5TqKz +6ypLXhCzajeZ9bCG3BpejCjIEaWBUD2kh7J0+HCULYyeTzpohNhaI/MKmc0EoLnF4kCkzd4pMvvA +p5ocbJrYbdecvbcfMIITh/xQdp+49FqVHQxef0h3+0VvLxuk2NT9eIUAncuyUIVwaAIeOCBvgNVc +tG6X4rf5HV24SZHI+Zjuz0OypFV+JzyGdSHTgGN4uMhbrDRfqYPvuAztiv2Qx58iGH3ZwpBqL3yF +hMRRd42QbccXRzSz9D3FkuMzbSjDx9QcG6CAC4RAh4v0nZKABQ80R77IeSAEq0oR0f5JWwtHy32V +5ua7yONcorlk/MT3gY3Fd/o2fmLGIVy3hn1KxD+tL3cApYs8/HSFAUwZmO6sv2JS2GwYhy6PvGrp +EU7k1wC3yDDhA5enNeeq43O4uKkPD2gkurz2x/AG6h45Ovq5Q7FB1iG4K3jBnoEA1+ax9ovN3YSO +dg0OaQ5QOnBMNGXuxfgaha6XkGLX796P288+L0YtDk8vC37sZav2XZ9PxZKPmnUIySHm0KIWL1gY +R3YeHb4WEZ15KQw7j4S7lPyA2d2z/E/OqZhfuUXtVcb2R4v9U0wD2M24M//LrRrFgRskixtZh+uM +BQlbrQDgJFRs6SwWBh9cg47lNtM+74heFZZHlNq3UtT7gijPfZXOx+MJcMCBm5CWV9PoeWqsImcX +Zg60gKCaUsQAf7M2SxW90KXtvF4TFqN7rz4hYhBZajdkxLYBbRpv7scd/xZc8BKxhr3XNv+hZ+2q +JRsGEwfbdr3BV6R0TkVLUmZmMRBi6AdoffuoiWAy/nC72B0KTtGe/smuxo80SPxx5uMq6N9WI3Cv +k33CHoEjh1KWcrU9hPwcabxnaqA+6ZGZ/Q1DxLaWkJG9i/rlGSdsOlF4AKJQO/NcPAVaGPahSfhP +v2Nf8NI4TNaCTs/fJNrNTRWylqwpR1gErqXRymW7/i+98LMsPZrz5NriR6OVK6kcr07M4YZ2n8lz +d7iYHQBLkpwUYNeLE0Ob3d2KxfMiruZBcyG6e3yiZejz37052oEs+h7OU7T0ZuSMVaNuMByWdFEs +e2J9WF5TXpK+TjWxchJB/TDsbFVFXQDt9Kw240mW/t7ANhrw5BYtvMDlV5vJpXetYCaheW5CdRus +w3NJdP/nhPM4lt8osaMmkSBoBaYlaoK7y1hS/+MiYQ/XW1gT9PhMED5jYYtu8vWevYRWT4iTtuIw +VevWxR0ADbrkfxmOgDNgLWty1uTMSlO3kEgaME+S4hxK0o5jjv+BC0Xh6V/RzorDKe2AF8N5aw9X +cjB+LAa8/ulTZUxrdk+urpQOkQXsJQ6JmBksUe0Wk0rgVqPoSKLu2hWGNE/yim7tN+3P0VtW9Xen +UdNo28KqtgBWQhDvR75G+y2lZ1XaAEIwjpHarAF2v9XwdfH+s3PEc5+GXL0xLXe1DSWP3IGzVHgz +lmzA2oqVGTPPuCPpbR5Dz3qxAFfgNZl5S/NqUjXjUn6sDJy8Fai6eXFBn24ujjHoVlya0lEtTYnP +1nBuQaaFGvwuDXjy03aIj6MsVWkb5LQo20Abuig7mkhgwsYQxnzGbH7XaakB7BoPE9Ow4j+iT8ff +rOjbKUughuFSTbZsua41IDdBg5eZamqFZZ1xFApoT2S/u9GJHSN7TocnFzdyV9vtZPXFb+WNYHts +gHCH85ffbAvP4uZlnpMBJBWJrMjAqxeKw3THqyIVTs6BW08fcRhq9kTky8LO9n3vbNHbeHy/uRwO +MmihgODu5bH3d1yDvlLDMcnCErk0teVk5svvUVzIBFerKtD5dlI6gV8i7adCRESvECpEDkO0S0ZS +9Tr9RQIAGc5b9nBuzljQki21/Y0V0qaRXEUhvp3blBzbcocitGtMCdx2qwZ7zYWpAhyl082PYPwj +g2fd34Ly/9yruSWG3P0bs+rljFdFxj6/EaZmOrLUpJWA5N7mpEE6/FAbOMFxh21IS7z7m2YW2m2k +C4LfKvz11DL8QJa4mN3bhX3qDSD3GEZ4FvJoivc1VehiJcuf/4KTALCck470MXv+dySlEM9hZ2Xv +3L3yPM47rd7u8YSJB7xoOlOWdlnTO/Ck18ydU3q2GlKDQjVWR4aTz0+B7or5wywUfu/03KtQNG8/ +Tdw3J8T7QlMF6oCIRbnJ92R46qq1VqVK9FQqPjIKMovFy5Kly7JtRYSJhD8fJzx4g99cuKmqDqtE +08VFYCGojtDrgfdZ0MXkJlDTXwmUIIv1i8UVy2ok6pF6qWIonHSJlILUg57wFyZ9OdxyCeV5vu0T +GGPcbdCvIe+VoZX4YRVfXGfIqwKhMq180BufagTyCXYYTc1J2uqXHaOddbGZs3Ify5Q4DOlSXIHr +pZSySwGlytjRpKwO2AfDIKXAHcQqPN29SweTFViHfghMUxGKlZNeWwTJu/U5YA1TLxc0TxtNIC6z +mBEmwk74i8sXd2J3dvViPAquDvHHH/Nz+hk+bLxx6aMUOfdEsbhZrDkjEz1S3k2NAiGpfeEWPNSq +g+wtf8RtIEERPlA8HVJHICj9xWCajUoXCr0os9kJilkD3lrXcaqSUOIZlBUWBqcXHMUx0CelmQCk +zFkiSK2X1aXoJsd5WpWvt3vyGzJuiNE7L3/S96gtKgfmZKf9fjxB/8jE5ezUzVCx/3SHsa+MHp0f +u/SgdTycCK7S3vn/dFwQZAjmVcH2pQGKLunwlY1AHpnd+/7rt6ggiMvYv9GSayCeaiYm4J36zR1G +h1pXwJnOOkiJr7Q/WzGvlneICQqG/+CR3Ss811eELuFA05jS3AONPuhGWmufKbmfIvMyjTlsVQvs +/oYVbVi6Ncl/xbznhANw3WURmkhOUv2NDjxWGflpoHYuUdJ6ARxgMR5x6yh++lC1iwqEZlVaWcng +HLtt7c7GEsNx93jB09cyHqWxmBp2xYOpc+q9U/BsnJon0PQ6keEiyAvA/1ts3NNbSuQpwfRIFovw +w72cg8qy28CImz7lHEBP782aG+Ox8RpVfjs4sIN0glaXJ2q/YHgfKmPCRZjmtTsvz5RnXUyFSCfe +nA/+MbD2bpcLYW80tJSPRy+3+DH5sKQbfrf3imPu/OeAfw2Jh7tly5tqlCkwMlM82Cj4VUC0ZYQk +9m15/ONWgnKAQYNr1QIjIKgxlBiDFxkL3Ebbm0NdBGi5OD2TSsWVURstBeLhS4Rt9ueMwifDMHOb +qG5HuYW6Bx277CRCLoyDLEz2HLotIj2TeBH5+nzHhRKwIacoSEEOBLyYOL2OSDguGWK81/NLmOZ5 +aBwXzSa/K3AY2mmqIBuuMJL3QD0rNeaYTMvA37jW1DWVz3lv4MOVcroqZhkifNDI/NJQww32jSPy +xMnEMoGQee4Cb46pWoEKP2hm2hdIAJf53inEtOCxHQVb5KtcXYuFY7Of771pSmHYofDz1Iz6gEKh +ebRIzHepSQHuADcSvyRvSrVyD6tefK9wPF0z/buBCpiPmyVp/VXn5ASBVQ3L726+PznRSMQUxTod +v1hR06om5hb0Mt3S5CnuG2KFSAyDf71Xo7KTq7yIBmW+un73cXXkOQoNIIbzyovf3mwIoYG/DZJz +g0dj6iR/xdYGXRVG39OV9EuwvYJuW+iq024i/vsUnT/2FU1gt82vb5JUMT0J9MuEI2lbKGPlsarz +JucZodxrwMGz0HbdMrOUeec3JuWle8i5cPnpRlYNRBbWRGiB+E5HhDfhG6hYaHeAdwCXxP5WXMQa +0kJt4WEmMfuPlJcxDtO7QlcE3/GMYDnFq4pgzLOB0eOieEJBYht6Nlmc8Q/s7ir8PwIcHJTSKQyr +087D+rH2hEhrVObIelNMfzvbVnnyJX0wmw6S/LVjCHk5/rKWHMV3c+8oEMtR6ZTrxj5GsJeYb7DK +zXBX7DUUl9XnrQCmSAa22BmCdUQ2s5vtgPH37XAh1+8FMgKOARDAjpdyk4fxNa8eVt/UPBnnm/I7 +pobFMaoFh3tRc6WiTntGUuBlCNKsHDfpICHKOY7U7W/BQDoJFJJnZrRg+m1FsUcRCzf9dMGmxdd9 +uf8Od4RzIlptYAGbaQr8BBzpC/vvIAUU3FHcow6M1QFFhsLyLrdQ3sFpvdatP8wsB3XA9DzK4RoJ +DaJLGoOUJ/0kJIrs/vG+xZk/ajqAMrpFkFD9WCNgSQXCNTCWvvEJVQ33XUXT+3Qvt+6HUdmchcwY +/XRqy3z/ByUiDH9x9BfnFPIVE1xcEySDoSkBHLWZvO7+xI9DtHeSwspW2j/wefjp6nmLdTwXy1N/ +HdJ4xIRboDegv2IXk1HwMxuX71iHhVg9xXDg9BRONzyd2rB0Kq4XhVBFgVupJFfDOv6kppY1xnwS +ufT/3VShvByPpIUvgV2/QZCMtrgf83UyeFxBFDZ2fUAUV5xejYlmH9RV18JlG5bZs86Wge22VjT1 +lIG19zwQSduLFbwNvrfQFv+KrGQHzKPzeSbWG7bySBB0rnklyLMvh7fD+ybgu/hHqoiI6ktUCqCB +U4rQ2rj/+WzIrHLOl8SCenfq0weDPZdaWvDOVQ2nVcgNZ6gZvr7c2X7bbWi5PerProT5ZQZbUWo+ +VdbLDi12L4au5tqlDxydUQyqADGv6UlLU8JmyH8CQmlJkLgufHGH7EPeUIKlRnj+j0SENVTCwmYo +fIK+HyOh0ozRB2SZ0Wqfpcv5cpsS+33Hv6yi6tJrlDMAZCm6crxr1r3z049zX6ohIOUzFi9U/9bX +jYkUseVZPWVVkWAfXf9UgNltvT9HIsq/EXmJVo1NSU5dTpeDh5QcpaRtOPELZrUwX0pbatgolgLh +xmhCiSUpnJVYmAIbx7l6NR1VBqOve0qYyy9GEb3j0+zU+hl6yhWQk2oKlzIwyHI0+6QhqgtYqfIT +yiUNPEHG8by+H79jPEJMozRIERaYeo9i5B7Q0Sns5M9C+27brPpC2+JiQHn5EQj71b/KXsed5hPb +cBFFq7XHknKaNbuPd8s+xJGvrNxqCAmI60n7SVcJ4bMw6SUcTRak3B0+Xzt2GQLFCeQ3bIBY8tpv +nA5rC1C3l+ulp13ABWaFtAW66MWNMM16w0M5es0mMNPvUD7SJb6LStUBQWE1Mydj8x1PFfxW/v3g +7EQb4Yq5PC1pOdtGg9D+1a+4ygq1OIZOE0liIE6e2Y9gPkoUqnHRah84F3D+2NfBCRpDAlPEfeVB +UQispVcz+l2ldxUJrczuY2Wa1nK8u/uviSESDSzBT05A3XqrxMiGEkx21fnTrqEAk4/O4EDX2lPW +0+LGvSJkEegxjQT/c6TBCQNShjm0/uybHyFknqDj8pI6D29+VFu55bE1XeeZObDC1u/zfAx/HOFe +iqkNH81+2Hc8ba7tnCQGMDJq/hsvWo48cfozDOhXkvZE6Ss9kr4jyzaSARMjKyg/gOUxQir94Vww +85KhV/uyMF0xnjuGm7k9RplzhaDJRUBQWh7w8txdKPbAlFQMWjrxu8Hfd6cPIOZFtxS2AK8wbVmM +H1CsD1sCH9yh7B3tMj3vOkEQW/VATUMfrQL+iWEs/E8bY2kNPlY6Zdbi/tQT3am8CKo8svWVul0Z +vOZyM5muW+Uv24wJOeITXFVB0cOVqqv/YjWiJZx9RCPmHP3CKVayAk5QbRDwt//LZuVrPuax2oSi +T1IzbCgFreIMMnQJOYXIywtV66l7ovSAU/SQ2tp9Dyy84O3mKRGen+3aW1koGtxs9plBg7A338qK +hM8BMkNBp6H9FDcFfGN8jyMZE2X6KkZgk922paP13I+7/b9yXHF8NBvoSJZtmHQbw8FpIFxFT45X +r9lwrANZtPKBieM94kMTnZrFXp0apAPd6J97XAs843hZ+q+DMJZgU/3FOBC4ORQc+WaIYrf/zBt7 +LzixQxQbatPO+wN8kSZtzubjdh1Y3LISzfnwWhdznkJ2GLJxfGOXWhNegBEhZgQihJVF62andRPp +sxvez5RfRv0koJ2aLWbUWRicZElpcr0hUATL+RDv9Gbk6p4V5h1dtaWOdlMgZtn0ZfQCV/kV0KuC +6xj3AdOhW5pH9IS1pR+frbfD8pE/5e6Fyuu6knwyTabJfDDHEOFWjNxAIJhUeztRxTFRys+N+5UD +eQQs7eyJaR/fZv4D/ixkaX+jMcZ4xSoyPmxp51mrMXcsm8xFmX9xA7pQe5jii9+f+6yYEViUJ9bd +xjOd49Bn3m9gjhOdwcQ3ugurEXToxlhOv+D8pQSrcMRkQOPtgW/atL/2NlzNL+JL+IyRAj3cLcr5 +Le/roP2ORnIC+OI1075sBiNB34xvCy4Z1ySHVo3QKezNKogLKuoLx2QJShicQ847v3US5Nrl5DhA +xaZF+kLR8a9PDOIRYpX6jIm3lik7gDNVodeCvj0N59n7JXhxzmZTiPyY8qKkJAHyeGsuTEf9eax0 +np7FzanUxUcoTiFj5RfFcNOo/nEf8m/yUKOeGBvdSdJkZHRaHb/B+hzx2lZMBmyZbB+GPJf7F1sh +6OOzngr9cC6wJYWzEJtmkhtRH9SUDFPFUAtzjLPUrm6xewqlYJO+WcdKxGYiet/JsbEGS6AvhP0s +j8ILw3xbyNwj5DYWAoptacYaccNn6fHEVOA1DKz7y0sd8ZVMbmQBt/K27Jq/SdQYMt/M6ait6GFX +83s/xNpoujZP7/syNX53hBu0/YyGeKE1JIULJV3ZFbPGpsBUADoY+8wSY0KXsZyGO9VVORc5rM66 +v94jrHH+4vwz1zDSmQuAh623YcNAJVPtBTJLTKKLz659S0jI519UaY/LD7E2kvoe1SNDwxW8sVuq +Xv+4jUau4RjcOBkEV1kHLXw3b5b3SWtURGxNx7fq45IxkVnQCb3MIVUEXLwt9ow6HM3Ko3yKsWzO +nGbYxNJbBvmNelQJ6Tr8UXOt9oe2tnWaDiZUlC2FVprFvyC0BnMtGBovyp/pH5aKU8xPCaC3IYJh +1tmLh6Zdwbcc5BCQw8OFGFmfzM3k3dgVdbVVdy+/lvkUtw7gqiKjwXy5ENVrHV3Q1MHKtjvHTgm5 +RXkKUBeubAAOMHlvNS1OFwRkL4hukAWAjaadJ5c4R92FqQucNFuq6kepIPuh4udxAxpL19kOstBd +Yq9Q1eU37p4AF9eFcs2PpGwFVx4X74Gm5IKKR/8t6gjOHCXYRSQ+hQVyXgfLfQBLXeKQqOlVxT5o +vJQxhtzzVZB3QFaEuDggeoPom7W9hiz2bUA9ZSmuCnziR5Jba8+PVRtt1VHr4qu9sLl22ntlG6VU +/yoVe7szBPZXDd94r7l8LxfAUSPCo194zbtvU31hfTPfvQbfnwX5nmp11bRtu1GuoeBOw2UPkUbC +49DMaUtwGoERYTXIgBd2Aj55sYwUKlOuudq0MDgMRAzzEsbWetFQ91KpWNGJ7Ol7LriggAEqyVDn +x6EudiXWlqq5rwf/MRdqHCAiDZzdMeYqkKE/uUKMZEg3iv5F2SNdR0OPyhkWOEyu3GAtyfRKcpP4 +k0GOYhlcXXTvgfndNn7x562xMfJgf+M6quYzzlEwngzm+7odPD0q7m0UhmrzJ+FoQzHiIxF9TcKp +wkgJnuMjffbtbWdI5bn4ixenDhnG1lvlqm0Vyt8NhyKq8XGjGMWhJ9kfryc01uMeLOKn12hc1Z35 +/42jS8QTfnb2Sj65CmTOx9uPysvuigHB5zC9yw39WLoCwaiDJfbOOjGFAbqR6uZvNoNFeBhr/Xj8 +EJgb2EtGU69+55WxDsq4mMKe14LWeuTUPID+JOLLcfq/tlu2laf6t3ImGBfSuvMwAX9qErpXb8CZ +mIUXBh4JK/ctVce5VOaFipJFxL8SfjLJki1lQxptxU70eAKpPyHk7wTgi8lvfUos+GrGvTZdKz4z +wYvSkSsmusYF/EA7PkgTARAiOtgwbl6DjlvN0OaUZlXG9orz5QAbLu7cYpBDtRJhKZ8BFMfuB/6s +F+fW8qCylRDiPP3LXFmLC+obraCATWAkbxBzKI/ddCiHPiJu0llLo0efI2TFSGk/Fu0fvOm31dQn +HqW2UI5LTNjK/7EiEjUqUAUMQZJaZGwA0V8lAM1ifug2HO7R3zl/qlRQIdjPJio75/cyHJTrJ++b +veIkGdkrbUaOPuq49iiCFo/uw8CoUn5ZKhhav+J8o/DNXWIFocf/kBEZckA6Q5OV7ZU0H+j1wox5 +Jvheu3vL2D4DSNqHuKK2DMi69i2MdaSsP3ilFs9XH7KMe/qp40PzmPdLi84WuKO6cOjwg1XN2KOS +kyUF+0L8Mp69GsASZpOZe6/YtKKf+L3Q8F7wPpnyPdd78Aq5JkZygFIIqM8gr68NPSoIGsirDsLG +IIrwKu5Ggd2+BH5AvUrc3OWUkanGMi5g3AYqKmu4NnBa10g9QL/FkyK54Y5wnAIgB0kJNlPFEDjC +7h10EFRCaYqhD36eMU2dnQg1AF6lfs32pdk6QstAoW9wzGRoTyxNdZJqSVmm0YjQqGeBME2k/Ict +CyjR8RbzEmuORVseQd3vYb4lnsm9gCIYsHJGdNluRGxyjC6XerAME1NDGEON+6kYlTHNy9bMXC6r +gYFoDlFIjCEy+RxeJt1JLr/GxyI08HlwlkV/ka0BWBns0OWFPzU61zKN6KF8v2V2UBf9jV1OcmVv +0/ede9pyOEj5fdb2/fRYMV/y7xhl8gax8el3tfqH4i1gLSm7EUjX+IyQbZYI8Ydv6FJYkxh19Tar +BMThgU2txofeOLkG6mHziJL6HK/6cDHhWtArvhJtQCoZx+Jr7JOFc0M1SQTiVw1d5T/MFHRNhS3y +yaw/+rqatIh/L8eIF4UtWfHDyLQzGU8VmKWtxQ3mL/eZlrh1Cg/aceXBcVUtnrRYGJldP1HPMz7z +82cxmYtoPapbUFPyym0xAXzwf9NXFnXKzSW5cP97c1XlZDggJWpNcdKO2Y/9m2Wj7/eZhDNtdLKr +tFkyAxieSQ8wuy15pBghf9W1OnJD+3L9lJhjsmd2HpK8+C2io7AVhIoDL5HxnV5IOKFWkiDVLaJg +xJRkOkRu2DJ2F/PI54ObEBgRhl/X7rTWjkR8v9urMxxJtkv1VTTeVx4ciRbu0mCeopvzXDO6GD12 +onFG992cNQHukBsmL5GcVzLeQVs/SoXqCOefve+8rTK5OZ+u1RkxNHbkA5Fk6driY1Q+RfyHq5tx +JfTmGVbUDOWkL6O1XMOO2W0HCxMUPLNUNX8H4re1nvOMQqaupwvqeA2qEMS4C6SSsGBMYc4FJPjI +AQGuxhpCwPwF4rMcu6vN67IWkaVlHRILIhpQWRDFawOdW2fsnxmA+XOdcLAPIoz1XhO4nPExQEHs +1UH2DtADuB9BQar8e8Ov7npy/ExJj5E2JkNTHELbEaZQ3ROWdn0EBrWK5RcavwaT0muHgpRGXkC4 +FWqjnW/XbLI8FpfeRrnzJNQYgqkSssfq82lSR6ENg9vSqP/uxogqjqlzq58QKeDc88mP7GqTkmau +r4dZEn436dLzg5NnYV8Q87Loq8pmJImayZOuOi/c+9qwYd79raSjcvzY2qwhw3MScDYgxbCEDqsz +KtHn6OCeGKJ48AJGgK7kg7XXdttZsa9gOTgogxmmHomcpdscW/cWfLXQL0pG8OHuiSjh16BiClRd +Zpr08UDRq3Lri1qRkorkcSfdbN3rY3q93hs0mSQ/VplH1s5SdhT5dMKXH6FH9mBa/d8l1T1nyDjS +spfVubjOcBdS5xijQfzm/x4xpf9tPOlEuYWIsMl4mBJj2iy+O4raCiWNWogJHoJ2aNbtlCGM7QJz +sTnFJIQcwhclifjPf7CWNcvKMwsiv4iJ8WS1d7KUQg9GFpkZRLr+wx9FYtbhBtj8X1ZbfPds++/G +sG5O77aFXeBRZhPx9aBP/45dywaG5zpZ0Z0lllFjJ+qnFZqiEkJH2MRMr2cpW3dH0CrcAI47NtKZ +mVciZmYptI6KAxm3EurbMKCiApoXom/1cFXODDepqJusS8zAFyBnXWQOZ8Dm2Aw2OpRHCI+LhubL +tOgSdPCWaP9Su499BDpoYoFX+AW/otK5K+fFaF3CXYD1fTmYoF8ityzw0yuWr7cqRqL8pYXDnwYG +0B54IvwVS2A/aW2mruLWksndsn1Zr03YuqbtapYopcRR1GXg7Oo5vfrmp1Yl7tVmVPJ26/Ae4P0R +MvM17t1M8X85FGxFW9PJCtEoP+/HPHrsQ2AHlrCmy/1NdmdYFwEj5NNHzJsoqQwvyDJfRfmva/lo +gDHggGTQonaH8v4OdK++RvaKysXaqiWyYkVZdaUfWf1AZpijDk7eA/9WqHW8pMs1Cf+hmzikG3MZ +d/vA3++6na/GU1c3SyIjUIUH8ZhDD7NoI9/Zox9PjrX0MbKOdKLeZNgFySQj6+MJBdQi8IRtfn0F +oH76MsaSjO/rDOGbxzrsn8bs134X/3ahMGtZ1/WuZRLAPOe/8zKGN4WFGFzsnwBJtoJpj43tqCZn +SJcmAudIS5KTjbzweo6vcX1HDNj/Rhrai9gFxyFlEypMA6RhK4vZsWNPpV2Q9Ahyk0F//QJ68BK6 +qX4dHlCMAtD9KHQeBvFNNN3DQl8kdVUuyglBds0d7SEpx3bqJzopoT63MiEO3CMV4S96/cL5b2ZN +/ayH2XrO2IOl3KZ3GU5UX+xzemcPoLx8MGXKxSk6wHDFWl2o45jDBHeytGpkONA+Aczb74Mk2tmR +YXs+UV5pCFagOXxIigrkOW6fI7GuwSLwQDcCmsJaaSS4a7ZYZiLkASWrqvRjgdJwt/7cT8OfvB+e +6nXTcsPda6KTBdp6t3DV+rM4rCgnuAZx6GYGc1cxoio/tKfliKP4sS6D2jw0rYy1KUw0Otbk25o1 +d+VWIMy5oTakYSmyRPg/vtKyaJX5yDxBchEtwzeBarur801XNvgSoeB8MDpJZzLA2dAdWd4wkxDP +uQc0SSJL0NOuVEzP7xCV1chXAlghLnRGvE0xfhR0XpGdfrhD3V470nMCM6hSOLSH/Mshz9W449Qi +SQUNwyfMy+iEZnmbLQgIgF2xxSnk/m3j7tzNgt9F2Wj3f9+/S+338lQXkRdg58t8tImhCc3bf4ED +CnGQb4++kNihdzQrkm5FYtTSUnPecj6LmiyjCCto3bD6ceffJFFTm6/kCsoJoVyzvbtgsqouK0Gs +4tA+GXj19KN0+W1Fu6yp1quSD6fo+8tusX32dJzVMAr65TXR08kXBZFZjHQoRxxCJbSUI4S3QpDG +L3+oK8ww6xFSmEeII6/s4/B1Zo6iejL/G3aiK2d/8U4Fyi4il9G1jwrVFc/+cWA6UZz7CnnWswah +Ucuf1m/sCrlK7vxgG1Y4GIyoXnC+lntlHCZVfq82hHzR/tCcCmpvlF7YzIKKXSCMjyA+pnk4o5YZ +d64zS6V8iKTuzBSMiXZCJRGf/XdfpLS7JGsyvjiFBQiZKu2fG/CZ9Yj+yJffS+EuRolaJUw25YpS +zr3UQ2wkrCtuq1IUucVqvtt90WUNadQz7n7a7eLPc726pqTqmiFPm8VVH02PAC2nSxuv5eI7ko+O +BZvpQCtpfpf4spdiInygZib6BFLFCmFy5Lcny+mfEmb0IQAhhMeYJFayocPODjxS1SYzfaSuQVzI +F6emIJL+3bJSvFq28vmAI/yn07rQ/CygBSF5JejZ6tP2dh6dXfIAaBROhlK9Mw3eE5vFpWPJ9QYl +6sn8RstGPXptpSVY4/MHORuzwFT8IyvczCedxOIoROmUNnps/JTlBuOGRYzt+BagtHi7Mcmc4jaM +Dvd9FiBnTla4UYYdZMBXrY134lGz0upMWAE8lf1309WItriGnYecDGEtxE7lG5103CaC7IsQOQvO +4dZe20ogtxFUzbX2pSobneEP+P8UAdq8QenfkdnwzslFfeWD7ugOu1r8LgiQloWxocLkRyVt7esz +qkpHoOUYBYuVl1l4s2QET/GNBbJMwgLg4X//MKkO94TCrnaSU5CpG/IZdCRdHb20I3YSp0ByVk79 +ly3BQr5W87HOgKq8+sePWKgsUA+F5/r8wYbYyK/EvdPEkoRSp67505auGNWzOKwB26xGB7yPVN2U +1RmiYj6CepltKl3HO8E/GiZ4kXmxQSXEsJpE0ghyJ2G9yRCNVYhDf8wqrzbUeOFS5HunwIGG/3KS +GVCzqPvHqwIZ8imYFA9M7i7Pqh3z3xjC3dkpdIxUmgHEPk07NOuGW1tAcu2oKKGx8CtgfCFcVCiS +Cmle2LxIPSY9QcYA4ZvLwjJ5oO/RGvgN90Jd2lOsmsI3l+r2U6xpiu2UtRz9ZUm1MOXqoC9IBVIw +bxM5mw7+W5Ibbz3uzWTMSa3K9OYjsSwA4l4g8lDZmlmlJoqr7wuZwFEx7P+4bfzPtPD3KGTIqPmx +D0H82tqv1ILGf5W9sGpeq8K7J+rDsbwd11a5S1ak/hfNqFq6BKWGRBk2U0uWE6k9cmznJOcmuM6I +B+FQ/5CVhUvQyYE9+t3kwav23EZiXzR2v55aFgaIv8oRoWQ4u7ixtjgbKbZwqLxGC/B6ccMlgW7C +NKb5dPbjckrB7Qxk/af+mkWd9rHKbbeh5Y8IWqGn4HrhkUih2ZJR+nQiCwa0TcpiPeSTSllaEfzY +bH8VLiSD+p5cjaOfliapPE3y7QSXQz2YqQht0ojgQRWTsjXVL7Bi91LK8fxOjF0ImituqbR+cDQ1 +iDOIGCqMJFuwFpwK7GQvybwz/keC7IlCEjIoO7nf7BG5g8AFnaxPsZ5rIId4oZ5Z7hd+DpLC9q0H +GfONEXrZqUDuiV1R17NjD8xEsiq/rKkmyO053rcacSQYgxFyZ9H8aSoUOqlgYmMsCNUoq2+lSQVs +RHbqFwAcWokRYMXbtU0+KleeJbiZnsPxdfv46H7CvTEZkzCnSxnVdPS3F6TrAMeub1D0IjXwLQLl +yHdhZHZneBhiQPz9KXteUWjRUAdbHz+Jbd+Mh5+6ez8fj4sLGJUzm01xBSAWuNmEhtaGvsA7L6o4 +0qBsWSYloL4SQPazAxBf+hklgQ66b3J2E4+7utBfs4grMtEEverYEBY98YaAwYPVm9QEgM1hrddp +Z4y2Sr6v7JgtlTkaGmOh4Ink+Ki/ei/U7dkIIy5vFbQa5GD5ZFJ0lfBsBKXymIhsIwrbWHSr0bms +xXgYVT+S+RR6vVN+d3sfqznWn8fcFOS+BxgY6UfiH4I/k9IrwzJKbInYLDTlgenHa2tfbhzPHj43 +IUa0B0wxEbXaTvMblFC2OY1Eijw21p5Wx1QpVOHCNR0d7LFqMBwo6VK8QLqODk5tpyCfM+KbKYlv +HG+o68LlUCNEDrOedOPeZ8Y7pIrX9h/dvcKrx8/sXCQArgUFLFkPAFl5+uxoF2kk9dEKAwdNCY8l +Um63TkXBoqQKe07R8f3IBnXOFjXBDTPCduJL6MZdyr0SqM0qZg/5I4G2+mjfQ04oyW0FRHpVSbG3 +tUFAVyeKRzsF7/ybozljAQ6W/th+KtYYts4efPMHKXY6byMupQ7Jo4QaOsFCZHXfY6XpuoySVWqV +ybEQvNyMpmaJo58TUupHuyFVc2qr0APL7huNxZWteco1DC9uzIyEIHDomhjgcg6TVB7mnHKfYWEU +lzsC1Yj8lhBoRV/I3oNPj2Qj2zC44AdksCBqR6fbyz0CwbFsdTOSX7Jbsd43nTwCKhmtj/mI8DLO +WlSkhwJRmTjCaVYqzEd6Z0hntO7/5GX0kB2BWwJTAE/JEhsnverwqY6EKQC5T1LscCKGkv9jnGq1 +v9ZAfPQPCSNN+VvWQPNxqc8+0r9GH8MreyG5cwn0a6fRc7oouI45hbtopgDy+QxbG0h7bz3wtU15 +c1Cjn1w0LnCdseoIhsUkfgXsXrrCiD7ETc02t+iEAS31tq2afhi6RuC1wuQMk/92OxUjQeyp4pNl +MjaeyNv2hkwNgS+7YeZ4yR6UHGEB09oaETTJa3Eh8gOZTYK43FSrWoT6ho1Gk3EjDBBEc7f5z4oh +yKyYyU87BRhHb+rGr8YwUKnao0QOr5CpJr2KGmHIESW0hmmQE/LUVToTPiu0mYzVHUC6yp1kyH/T +J6UNNbel/DkWtjcMBniENWzsZRCuC4jQdJ3u7MrcEwXz26EFJDzq0Twv8KESsR7Qu0zB9hBIJDvX +I/tinqNcg/G6T4YDYwpZcv+XoFq6b2d5f3GR3H8a2QVMI8WR2QdNkNfZqDbt0iXFS9m5k30TVmkj +SbdSATUsEV1TfOudTbaSNhqFmL8zNCXrhMo5K8D5nzmEUvcd7G4O/pYa0Uy4LnqAYRnXk2lIWZbw +D8GGvPQ03nz1KBhasZvezj2+z5GQrecy+J9I3R6zAt75/PmWo/zMw4blJaS0aCaytITQdn6ya1fk +VMxpONwtmAOmfKcoiOv7z2b0F777LjjomfsrumoWZwm120DbDm1zFOhbWhIoX46aFIf03HT9E+aY +7DUi7jajPWDjUfWclTAq4lUeDv2GeecgxO+65O0+4b6FY626sSpybotha8abvXjseQW5iW0BXz7r +X8i8eirgm55ZIIrPOaf7170oM5MabhfFM8Sqdec7auOL5yj0Vy+wlNjpgNNfNfeUNSq9dW7XXj8X +Bbxzmtjnix9wkX7oCnCbYflqfwY1HBaclZtSITqaioPfFiWzctMqrLCAb6dgkBH8tCi2tGZ3q/4Q +4FMzM0xdUg4WbFhOrcrgwsykm5j8t+X6yM+0uGvSLUyuzwLxbhPkxZGC3QmceL07jVWMIp6gjetD +uZNlRWYMiBO2sCUZQb6n9P27vPLYKVkshFm/x+IzzhIB6m77iX+EKQtlj9F8rp8D1etkBHo95QyH +f2Cg2oeTETV+DQBY9JXhpq5Ofb7kVKb4FlVbc1hkqQscN7/e9KKmkewUqGbDqMhJkYGf/Hb9BatZ +OH1+KApGwGwgLhOZY7tSBY+R9jidsx/nXzJ4wlGJ6nd+OcyEnep6lZQP55Be397cR8OiIDWJ61qk +L9qVsjLo2dZRG/XaOk41WqPrtxmmDXb56y95VrqY7Un4+vkImEAAo0571IKqZDFUHRCuhPBclqDN +hkpKerMztFV+eRgH0EC4zrCspUvdrqAFtECKh5fNxf/lXI6lQeNTbZ1eISDQQFhWURhK2dXTydjS +9jbTEZMe18yOuv6jMCt8vH1ubAotjuqLaF1tjBslDlT6NcE1sWEDRfUOizZq0ni6JQaVP+ZgN2hw +5WtdvsbgI71+87knzOSui+TD9xEO1RIltW1EvArkNnjOW8E/YL9xsC9lW/Frf6sUXvKUfF2J3IpO +AS9imFPvtNIiIDPTJ9nJtK71h5iA3LShGZ4tcawxRRK18QcILsyz0b3p3u+77c0gHdFF9XZ7y97L +snmOWkxy5NYWmimQ5NPxHZf7LRaCSjwEqVT24yLSoPjBEAtKbAuJyAxg/z/86AdRaBzbkmvSTQKR +6q7bc/el3rpQjGnYhCioJcTAHNkCvmpFusKG+a2ehDCxwJoEXfpDMfLJZN/943kz97EcseShAyBj +NQJhhKlx21hirwdqRs50twiLYgMEn95yLH3Byzi43sSReym7P50viVbkJkCMn2OzABDQjjamTueD +XfyS/+UUxoqJVlhf19xuFKV8eWXihQWD/K6b3d01cRybL3621noo31T/Kw0ZapNKuxrQ4wM7Wn69 +CindTV6lNuOPonsP2mAKhEDCN+BmcJX+oGck9Wr9lw7taQdK7bqWWCUJ+GQGeo2R6XXqiRB/DkvN +FTNQSW7AKSVjKorGV2N7WIiXBARiI2m3AROUQ3Z7PxwIhuJ4qin4ST+tXucIgm7F6LUzl8aEVDjv +muRyG2yF0xRntm4gI7VV1KdjIyj07Phh7W//ew0EIveeOF7loMm1AiDDtH9Cs2h9QrTXykXf333U +D1Py5K3VBf0YXojbe1vmQbAN4rt7UQXt7/Ra8Hr88QfNvGkL2mLXBDvSzZVuXn16jJX80S8KoaGp +/erDP32GU9yCFsSV4oe/rvGcDyNqa2Y/wbcfKTrTc8S9E9GIc5BwqP+HrEKbNUBZMya0pcsZwzSz +e2+G46Lsensly4ZDCxI2Rh/1CcJakde5Ac1Ltln8C6ggd42R4MZXhe0+PLLbhsjPrXt0LSf1OqYK +7P9hif80grlMxl9GTL8O2SRbra3cFdHAE2Po9xwVpCtaShCGwMgR8yBAAfW+5AjpXxajTMSg6fRP +NEg3OlZHGCB3Y1VgJQarNonSULj39pr8c2b1kObJk3hoK5ixUuX6IzSOU2Oapv6ABaky11ReQrA3 +5dOrXDfYpdRzWWD7hPQ4pFpTrxDN4mdXW0lE7GCx6vS5CttyW86MNUX6e1Y3f5e6ma65WK+pP8AB +4Ju5Bc5WjyEeP5mYaBxjUHr+t+BGGRyls8BBBNSoxWEZQaknY1rWrcxu3lEa9DShavw7dhqPrQOT +gch79Z6o0PDGhXOTnWQWKjNzdSlVFUFLn9U48neGCqJULLx38jjw5I798YMO7wFGHFZ24jg8U99V +4gJ6gPY5qeEiHPL67VP/kHz9/IKTu/pqPsHmshNRGQzTgGI3lMLjFwyBE/s+THpSfnnaRmIDg1Hw +i5Nj5O8ARTr7bFuJ+Vx8RpUcDQd6GZRuMqohrl4Fh5nLURaA0jAG159k1VBc6ocAH11VUzEZyC/V +0jEUsAa4Z7CPykNymK7O4Lq5l9R9wwrav33CwM1vVuipYNtx5EwcSWK5Uis5HR7ctsdif3Q/QlJI +dqkQV5fuF14MJ+sK7ZOCSOk6hGDgz7e0eQXNKnBJTTSUc4QDL39xZJ96WsjIXFR88K05FK7h58i6 +Jptg/3bWuGD9rsV1jiVED/w7pps50XIjq8EBRBgHpCTXTnjn3cFKe8FKAnT9lhz5EvJY9GrJFKUZ +hlIPIeYb5nG/ucse0XMI1/8jsuu+VBvA8EZCYsJ2DKzh320KsWTP0XwfTeNK2RuFXXyJFw9n0iMm +FlcrJY3uCm8Fmejdqu89YpZjitxE+VnCfr7XaPvNco/+8eYnSebNoV2AkPWi23VLa9nZjAVD3tT/ +RUG0KtPUDJMD3WhgChk2qfZbbKlsCA41q41gHvTEDgFJly4xXcUoYBDOsuKyCTnO731EkSpzBS9/ +Oxvtl2VVAfF1v9AOqTRHCnY4EBPSc6E5z9Ll9kkaF+wsyumwZHRk2SlQigtOCz1u05kPek0RktNS +yhkkriP8HefBPeW9bIe+XZ+E1LAUTNFG53Y+01DVigWq2f9grWJn1+/10AS4aR4utc6K6x7F9oCl +Ne4xw/vJpPlta5Uw4HpICPwVu+zEeWOMEYa+u7fF0JFCJZa4nKbZUQKRE8KW3r3J53ffMy/vLjj6 +SxWTQVL6/l+uvTPEYrDGSyjfm/UJbVsO2gDf1XsbeSEulQxPfpFnHA0S8IcAx6xM8oLv93gb9Ry6 +Vdanv83rZhoR/Xb2rvGVYHOxjHfhAzM+imz42pvgYrAxF3DDp/QYr0RHTUiGXV+RuqAEKwcqqMts +24uQsfXyODT1sBoL70PM/HtJMFOT3gR8zDfCud2CLJZ1mlPp6ZRaby40PZCU/ERJIFWRMU+9KvXS +4nx2lhZrfQhicMCOo1jDb1Lx3ANMB824HxpG9KLDhFobKfijHNxe1zxab3tu+4j0OtAoQq/C2Lso +yfdgYry+D+xcDpnc3V9dTI07YHHPvFJiKzBTDJl/uMms1mGJo/Hc2v5oUvGtVGNf2OYX+7T77KK0 +e2faIekq0tIb7Z6IUq1FuVzWzwm3m05DXSz1pSIYw/LXhCwMhK6jY8lTdPwSOXM3A0f8QjvwtX7H +Ba3uUB9PYuYNqw6qN0ZPCKncVxrzGx/DFPW728HqbAhL7cU9QU5LYRjMkhtlbeE0Zh4NRD2sCbgR +dzP3prpJXXgNqBvi8JHhZuNG4Rq2FLTd1QqP9WbNtk7Il18jBz7wgSDryYftYz3zdxkZTRNIiZTb +jsLMnpakk/kEKPAHq4+FJryb1B9E0k6dFNuBCXZ2vK29WZFq9DHil9qf5OYARhNnBVz4ep9Bezn4 +K/sYLyrAnU9HGW9px9GIUEV7meWQ3NKfOccMt9w2+++AtZjIPE4e9uZ6oYh+xApF/XSUOxGPAZC+ +f3oH4TkXscjOJxXTKgARfL4k7BXRNqoGuxubV7x5iRFoEpWcQ1hXUoprWJjCARUmLny2YgiBfa8U +ilgby//0KZqF8g5AdYgU7Pf7PHkcbx+FQoZ9gedlbENo+aMplm1gtla2MizgUCmIHOlMeDIcDA2H +wV1FVHy2t92LA/q3Y6ioA7YMwWvd6j4Ny8H4pKqFM7PCuj/mo3BOTYyDMgSzyFMVwxbZyQ9qo0cl +Bt6goTu5MBEjCql6TbO1HLbkfaETzQMGNgq+cwbzpvlTdd12r8rG8LjfjRJ6L7P9sEc5avkEinqy +u0wTI3DR6dWU4HgeUNCqdWhNGhwiPeCsvTWvRqJq9bheCrYv9pNjcUCauflnZmuUApQUkwi1kodz +GdaFd/BS7HxIxVnTuYxwjt514OXfZvfGkhFaUCiyRiQnN9enzOqFot+caW/azcqoc+KmGzmX+N2d +4nyDUXYAzMouhkzRXSzAUghTdJDr9xFPF7erjxkyGYv19TmzZTUmTVp8wS1qAit9a3PLw0bolM04 +NIyQv6DuEdggKyVBTEhT6nTGqtIv8ReQrGuUIRJEgnp0e5qmtHYNTUMib24YRoiYBEdVSdQOOYvH +zThi/1fYMKsmBqOaleLanlIx1KYmKpL8jJHb6yKwisw3mCSNNGWg7pXyW6snwhogeKibERH9g4LZ +LFlqGpWi5BoaErT+mFtxdaNoqenTrR2oNvlJiIuDiUwSJ+m0bJmDHljgI5y4suvXXh76E9BiMfxA +7bKi13l+2ybhUzSi0nj7KtrP08lIMCdxBMzthB/OQyVUR/WMYeKoMXTP7cXmbcSqsTLkTwQ+FD7a +4k+j5DNDbO1Zxk4Xg5I6K8tL+VrxVJ4f9iS4i6AwhHdwXimhEf1Znakvgtp+mJITXKm5UrgWwu5F +gN8QLRjy2jAqli7cU8ky1KOMdwO6aSr/lmiFyEeYcKgWHL8R8tnt+o+yhfKmHSUneHwyu9NAvyEs +TW/lN0p7bEXV4PWlHzB5NLRKy0pJufv7zlUsOkUpUUHqRyMKNJhDAcUdict7RALoiMkOuxlknMez +eFqKshckGLikf86bM/cZ0ODnwsJHoB0+gCGBt3ueeJyWd0dRbaGahWvkjG0Gm//JAQWhq7yrO/Au +77+Uar2aG9CLDXM96w6jeL/uynPFq8d865a69nbma730v+IwTD5Zemsse4OCdFKvO6KmUYgzpSZ/ +26Qc426ahxwk93AwQWRbVsTGFnEeOOVEnHgg0EZ4xmJh1yIPxZu3GLpBs3aDeWAyLaAiVjmAOoM/ +OBoTPlp4TGJSg6fhZaQCvPeWgn0XYtmeCyIokG/goY4+AHvg8iC/fPmmYPoUluTCo3Dk9aeREZOf +JN9gmsrxABXeqTNVEAz3KsnRAh5Hy/kbqvuFWrM4yxsmwMnxmshFlLc7vjIuhEiZoVDKJn6ZiQV9 +g9P81YgtSwUk3ZOfK1aRAFM7JDgzu6GJceFmtVwc1DUIeOcKla5RPp6yisjVOmjsBQkmyxRJn7c4 +hMORPP0gvxSVL7QXjG3i8CjRK6hkxmZiYFtCgFQoR8SLL5mU/D2VLzBbmdiQKo6EX9bvhxVwihN6 +FkSwYLpWfQhodPs9DX8MbKJrfDfUFgTWhjQ5mdAKdA+UvW9LvhpIum/Vam1FIIcpg2RYz9s2uNBB +tDOMnZ2GLdVt+Tu2V13mlkidBb/h2C8DRFCuB93eCbeEOiPh6tUBh8a/jm+ytcCaVwj9MAdGs0Lh +Gn1bWlUavO6spWd4KZa0q3wbrnSXHTte6/RmEdMWDs5GgYrIulgcfSExXhpp4v11qpb54bu25Gko +gv2fWd18O0VOOHNcrSabmN2mnrBSKqtfTHohwGbSefHYJpiOiMs+5C1T//o/odeP6/wOffQeib8m +p5UkVolLohCQpoFrbJdX3PtNpjFyIxSNnMQCVIWk5RyZJEC/54Nl7vvlpfRK1TAjgvyOh+EU/5Ln +s55MjeNVNUf54fea48/Ax1VV+4MNDyJn4ZITtT9ziCYykwqu3fTyom9IsWWh7W2wqPRgT4yR9REN +Gxqha2CiHo1UmArVXgF/DtxR08dfedxQ0DZrr3wF6qgcvMyREFI0vRHr8Y+ZjAAMbtKnyLWbq4qQ +XxAr2AOSq2oZtLM6lcESu+LM7q4bEi4akMtUyWPeIwRndKwgjaWnV3fKlgLt1GaZt4EawllHs0+t +DYEvR9BdhdCsHByu1aBqmStROsK08Nh37ekyaSP8g/u4u1zn41oCsohFdiwFmmrIsJvAA2zBSi/p +Wma/pwrEYuidxO+T6asf8zqj1PhV0E2T5Q0i+TCPWu8FymE6CSDa12wB+H8MduC5YxQ8ymZSj5D8 +YNizSVzC0MveD6FC1WGS0g4Y41nhPBIIxkdKgB3+AP1ZxEt8F13OLCGPRxX3PLli12xQpWo2XXXG +mC9rNRbamp5IsQV+lXLxdy7GDAF7cM0Mn9vsMMSu6foHMcj4WEL0qnBbCQDm2D5CFKZ8jDhSUihc +aV2UYnt6w+rFTFNmnF7+ybrZU9Sz39p3HHocTZTpvZtgRYtfEqbxZyjLRTFZwYF1u6z+EvRl27ux +yw8mnyqmsE7RixL3+oYk/s/u46+o/fhbrxMynXV7O/macdwCJ8b9lbL+8NntC3Xltb4RQWupO1IG +Zm/2xflFdGgR4I6A00FdsbCu+7NBKfPoHY4PetlWn5qCIWKSvkr5qGrJndaeDM85CCxYwJhpsRns +i761C6ZUpLP4Le3capM2IWunxHouALsEBTNN7akO5Ph7N7LfnRsfQA0pqfqR2oLSEs9gDK7dBP0f +OS3hN43thDKs88ifqcDrQM63NtZMljCAQuHmcObol7UTSt/5nvE+Ut4jHNpS7jfwldoXrWER2YQA +R4fhID4+8R4HO2ZlsZ235kmMCOEuNcOmhpXNWpTLK6C43xhdfpiQb4qKn6bIei9Bd4ZvjhXlW02y +hU/KbOwQpaxUWulv+ggOACkda+e25VZKt9/LtrOSE1O3GsWQ51iyDal6lfEb0Y4J8luCwkPSzeth +57IgtvsHbA8ArZCkMiVF+YUD0VXy8dV7C1R558ykILpblwLZIGXEIVobFbLsOpboWjlaPqHxYhFW +qg6QjJb6vRod9YJzcGxW8l+aNq196+JF8uT5L30xKyuRECu+NqSJS8brnpMgHmx7dpM1MZNjeY0p +d4752fFxMng1jHCZiJaJWQF/RZgGqaR6Ts8/rqJoJ+UIqgtHNIW0rh8dmhKfLkcb2RTSOn1MdY4y +2kKaJPK6aC9/8mviQimXsWo0GkK+AudS3166G5fnXHkFU+E9EoNf1Jz5JeFzgD6jt3VdfEFu36PK +PbelEwyg4Cgzcsz/B2dUJzfQNPzrsbtVYT/MiSlvFJDh76c84Y4VUXZsvU2VJSxI6JgFf4OObtvb +SqfRAcLp2OL0PxnBhB8u9Uh9fsnzUXdNh/20CIWuPGMtdBO3bcSwYlr2LZbAPBMpFkjD712CeiVq +32uN/uXUE1q1d4glcNUpDwqLjqHonKMHyBbylJ2TzD8OhUq+6PUsFiVC60p2F/ZVGcyF+MlUTnBI +2VauRY75sb287U9s+YLCKzPjJOkaEjRnZaa8a75ufVvsc6d8l9/S7Vpe1QztIemfblMuL3EtgP/T +yTx0aJEwDAJ1hl0TNjomjspU1505IlTfHdqcm8fSkvoT+2De/tq7hbqEvcPBgdqiqnTbwHc7PE1s +0dc0tU7ZOGlNl6+qS5phEfe5nmm7ZxhNKaqZiuKj4IirJIxaZbQBmLWiUtywXpkAXe3Xqo60n68X +I9MeHWQyVFOWBbf8wYMTEkacIuYihJF/l41K0ipNINQxoKbnPftx4lPPX3xoSSFJN2JqBG+bBgxw +6muCUmTIYMWJs5qzPbvmtlJPZcQad4E8bicUX6PBSWE0funzEcNED0A8+u4xfI50IZ2PO1nvzbq5 +0dChDJ5TfHKgnUB/s4LLsQbkCxOfBPWuBzJeiF6J4dpRS4FgEXgJXXKl+OQNTl5BcAeDruJPB+Jy +y1agfG41JZzdpjXZxsGIgOLkYXic6ZdrNEW12u4Dfx3JJ4R8hNoWUgu/pITFqCfln0QngIAb/8fV +BAri2YYV4lhlWbfNMJZ4JsLRSQuYdIk5K2YQhb4SyhLcuP6awlp/CmbjSr3jCMAUIbD/zxwZcnAV +uPCkZ3546lmP+1UoqNaYmNGj+s13RsnjtrXRlU7IXSCIiIZN3cHipNJuV7jaKvMbhpLgmYfLw6CI +i7r7c60qNZm4wNk0uWCRRKLm0n5EY+8bcwCksTnD7ipVkcDmkHIZ6vKQNShbQ5cqMYVzum4+q45f +2oa2IxE7r9Vd7ihiCN6w0eUdL+Hku51mzBhqoYnJp7JP2CbiST9uIJ7Ig8CarFbc4LUQqi9FE/2S +qoRlQw0qJtGwfFBQJD3L+D3AMIMbkAbM8WmF/dFLW/cwhwPhjnGFlCwlBXRpi/sIzkjRCB235Jly +ihOvCLrtgEO9O1ZaX1xLoHcMwwokeTQrIEg1Q3djyn/w3v4DlgXXtAUrYRdF3zIrupmT4+03cvo6 +ntShkwsh4W8RuAbpRu+K1b0YKEnDYNOduSSjCX5tapKL0Q1ZpRbEI4PwhjZH0eOEYoxIBvy2D+WH +JQPUUE/IGngJrykvW+IOmdEGbKUGsuUXJnmze7ZUOKIQ7W1+xXrmN5xXrw0J4lkoJLZgNv7qE+l2 +mPOn49tQG2WG+RgIDxPURGMspyNvSRWO6xXO7Icpr3wymHsOdVqNQE73xJc1At7m8r9WC8uw7zel +fjc/hIpSNZCJ+KpVza+HcF9j2MDGuoUx6iS/FVN0bJAX2O49bMvG0srkCPOobUC0ZADpRWCabG/c +HzgQuqeYn4XitOFV4QZgMrQVjpR5KCxE9K/VoGe0vgQihy8nByL6ZY6A29aZvqPH29riYfWEAhtz +ZPFHkDNvEeiYuwU1vDofFhL///PTtLgN+Ih2QVQcOrp4EgHwhr7L6OwTF33bDQQSVRrSfJR0YLKM +2w6r90I3/eJbgK9i1de9iHzpvhauEsUjQk5NvtjBCRH4bq2RatxPXuaxSzetJdX4wqu4yD835Ui0 +JQeBChw9isvpARiJ4Z6CGi4XwIupYFg+J4GnNYU6zzMFxRrUbZRzLMoTSwah1AuE4Dhejlia6WuA +njA3PCNjEsoYmkg84ELSyGHFP6nQfKwwx0WjNXxgu82EqgWRuyybQ4Q4KiEVOl6piJQrsvbvAXlJ +8ECP2tJgrhLfYjHc8Po1khkiR3e3LA2TPfOcvVzgRm2zqAcrhXXTKe9epgSDln/RSk0GaMO0fFss +m7GUQitSky0XN1iGxhjNPoSAxIVS9wUqmI1SMR7yhysF6Krxc1o/XwG2u1A/1FblfIYwyYjqO5Bd +MIoeP4IAZET2Tu2f2G+Ve7yr9cyX426f1awWyiChHBzAyTx3ikN4gPPmS4CWdfpd4qOrCh2PPr7L +UfG+M7mPuSSsi+uZmhjQ6VYLvTkdkJHMbt/dH+FlwpDnt16Q+g+CX4SkWv8T4MW9QRT5TE/CTGjS +pLnPaPLE33k2oJhL60MVO3uN8lgqKv6AB0WA1SRPg9XSlTjLC6TejV/JscQ7ZGkl/FnXelDG//q0 +vjZYigqWUfsGnseuzMtfFJ2oLUBZc309Uf4Duw+GXeR9ZZZJuqIE9Z4sVGVxfABul2hm++/k4RAo +KmbJ/hs92vMYxScOROUGuIRKVFfCQxksAZO5HcvPaQD61ipuqumRJJwTC2Bx5ZaAwUzDf+36t8wV +MrKYP9d9d54Nqr+4eBxebcywDs6sAH4QpejmUdhoZURzTiKX6p4HxAFTYtlh1XTQ6nJOsOeHNLmb +VIonNoszbkODDfD10/yDGxIwu/UYIJknGso9A47rT6wwIaYJ5PjMjH2PmEQZ+HteA4CJavXb4eGl +14H9ifKLzdWH1HUR5Cu+3dVWuh3yk+dFc5gWjyU02anXpiHzf7IVm+ucZBNgok64bebrHDA4I620 +L3cSVRunOnqfwZaStSWI+DNkmhjR8ygeoJhww92UfIjCvXcyVlx+ZPRqT3Po7L4yHQ9GRuACRt7K +++L0i4mqTi6J7huJUih6iZ8jtKj4NVXPw72wvw4Dj2BMQrrTgD5I7up8DzT/bTGG2HIERM0tcha0 +0nB9y46yi+4Uowfi8KMHCVrNMYWme+/xeDLj3sf6WHmt+YBRM6xt4ciaBolINMEeaFNTam5AzimT +eU6ZlFX2IGnaag2YLkEtP4/faNanNkSicsNytRF+nAiZ4iCp5jycWZUMCXWP1oR3AIsa8K/btLX/ +73VCM3DUp1Oee0ks2CSUFKWU9CPcXDhgdrqRBFtdDqeG2fdwUdnciayFgm13oEIgQjuVj3XUgS99 +WMl59r1xhmh8G4GyiNyM5QkZgSbv0PdFr7Y/bSyaInCSlT4lfNJERVv7wIKRLxz+YtR0O6OgMp5G +bIzjpRpdJ8ZF0vT6ePzrEp80W0Nr3x7R/amq52gxhxAdCVq0IpnSF7YdJDKD3C6t34+Tb4hb+gsu +dR9k+zXgrvUYMfIIqR89L0YwWAI+dxDTDlMIa8sEBsxlk0+F9MOLfHLf/dkzmOJExIpsWx8VTLre +BDMyJX/hiAKBV7q+BVanNN3YSdWNHqfUg5+b9mcrYzqAl7aVXdJtiCYNQWaGQ+IsnRmRJfJ/VlG0 +Zjy6W/ObMEuUoO4pSh1dstgg7HEhvVCG3TygeIY4uAD2Xb8vi71F84hagP/gsFx1xSdEAvrb+Put +Rxjn619CtNRQHhAnnnDG1TBlshhN+oipwsAGEG4wp2hOucfXvT/LemcQ1BkJy3nJfbWgdSA9eTUv +7XQFlx8kPp+tkDwGnDlui9Cg9zv4E/dKVXfKxSWbPPfPsEcNVh6kqgoQh8od5xzoevZTwJNcF6TP +6BraGu1/8kxg/3ceF+tpWLyQ3EsgQrCZ3RHjOut2GdpnDS586rb0P7ut0KQA0rvN4syv45yo/yrG +WaerHwZNr8zkguQi6yiOqV+l2O0inbCLrLdF0G0uAQbIRWumYtMWc/yh/T8lmkcwXOb3F8yYDoDu +WI8SnBK49/TVODYIbK+rbnHemlq12DKdWFti5Mf/vVLsoAnqtRZ0W4QUgeGLjs4XVf8oTphcfo3N +dg4qcih5VhXRhBCxrLJZwe/Pl3ZDzilUM1rIKnbw3JnpguPRIabN0xcWKaZ+XFLqATwQ1vOSDsAh +Gie1GBDZ3fSva4KPxuepJowdqYSTt1SBpBOwkos62P5cdSLZR6El5VmpvkQtivxuZ6vqHrTZaf3G +yFxTD27hKrKrVYBWqkYHoY5+ePCxqtOpB7FyNwsMhIqWhQvKJVOMjgiOhaKc3tpfiseAND8DzryL +OTE4v+/HFI3XJe6cljCp60AlOZoTrxIcaKghjLulxc4AhZs+2voI97zve37V+xg6H8C5Egvu6S6o +iAEjRfw5yC3yJKVPMj3rMRIJcD3TWbAyXrcXomTRePwYNd/4lqKAR28ARYr9D3SVpgl4IpDlyvQB +K5Gq2bucmTopR844DkwmxVYeYAWrG4X6ZI+gQylj2BnQ6GqAzDyJ2z1l/H05A/i2fk8KmawSPvaB +2ME2CQPL/BD5V7mBU1gDBQfCpkKcnJ2hd6rOv1oEPxNFF9MF47oZ+Tqzg/tFzc6Pcq/4T415GzWq +gFg5PLqoyGAqW5tf60VdoxyknV9j2yFFq7czJsn+niyC8ySm8WZMmoBYs8L8n46zvq6HIEvgEAI7 +JWGt31DO6ztSz6L0oLx7UjpXcGWCLcGi9IfekSIKpa41kfmoJd82nZLFdYxahfugKY0jxd0lnQtm +nMYVZEvDhZn6NYLfwwZWEETgij01TXzjv6gxfCCwH6DFYjJ+MaMkKERrUmaIYKcxvEyNTVeIy8mK +qO+7UVmp1zRygyMH+5JZs+PYyrTWqr1gZ1BOCnEyENuCoAb3zu/m0bfJC5WwIMNlXN2efl4yYGKM +tLCSkFPxJ1dzwHMAO+4EdzgKdLqcwZvmJsRmwXa3fb9dqTi/0DKvQFm4e68ogzMXyGjwCMlmnyBP +ID4oSi+4DxqJZg3nonN7qVDfKVb8jzSTv344GFyqVuN7BXaVyDzjwYpFp+9qZ15URF1btJgSDNVX +ikG5oN92e0kez8T15RR2+jdWg7S5u2SfPO2qSWd1g5tbsmzH4/01UgkLzlpsVhbUFj+qILWH8Fh9 +D1sNNYMvx6r2OoEhImtBvomGy4dlTZB3h7pRgYs/ciGyLVC9mtrV9hAHzN4KR0X3N7wJgMTZv279 +PEmuYnPEhiaS4287tOs98s4Vov+cJnL3nceFUAse8SuHDTj2DMnAB9JHjNrjyFTj96pOUhxYtAOt +FxsT1kEYaoZArVRJceEQCUPc1kEg4YajiJN721Ju32ifvjpIsUPXXgl2I3DoXu9gSUIZ4z3Pbakq +SzA0jsB6yq/QvDH0V/8Q6Sm5KVS8gqROTXieaS/q+rvmNbkugfipOUjFa7AivEzBTw3eCLG3Ljj6 +QJPKqvZCkKDs+T2GAHxjPl9huIdY16np3NZIlBxq6MixQ1MjYWep1UiJpgxCJXpCSiWbs4VFNnr7 +4nAEmj6SNTj/NAymjokVooQUVzGVXqKn8KP/ae94kRNzI86oimwqPiD0TUKfWF2f0syzkou7iK1E +WZAtvc982l9GbJaRVfW9vDCXQKqAQH6Onuwqb4NXTMQlgsKRw6pqedz7+Ie3e6qbS8KB8qprfv7C +lA3HuH0dizgagn6RHserIHDRAdOliAJHyoaMEhwIX6J9/A8aWcZKA0BKJsQHmaGRz/q8waiGgdgg +HwJBdttIrv5RY9Z4z0KmBmEjsaSXGKH7HotOoxfl+tIfAZB6ne0ZLYY+4w3NncD1eRf2a6xyRESS +iu3sn/GJVmVgvjV2JkGbz0aaAQL5HRKS1J2sbpcUFEEgezjLnNUdHOvbKci+WVCG7h+lx0n2zcql +hPo/ahwzSLo69HVuhjiTtw5X96ZxSYqr5x6tlKq4rM1RQVS14cZiqPZexJsWmDa/g0+peKCqcP1g +14Z/HvmIWr9WyTbW7uEBnAZgyQ4YAef8i6ScrzcG/n9QYoXmn0l4ah92lV5js4bsGt5E2KUC6LDw +P0YbcEBi8b4QGi/JqXmNy6mSnzAsblt5hZ/DqOI2ieU1p2W/IrI6HJHCa5aBooiX7kvtXssGYB5K +YP4YwJaFAVrvZM8SJyLqPzTz8Cya+dY9deJcNfPy99/t9w3bji1GpZYkcdfoJYBqzsM2L+FM/TVl +CZmiPKVZ7CZgqiSkqgUigDcQ+TXh5z24gCpN1GWDPpdO2AmZdxW+Rk3906zG1e7UF6erjw/KdBF+ +SNKC0Uk+LciewKI9ox8ul31wm4Tc8BoZFNfXxAniz5f/ifttirDViKdbQwKul8xYQOeCKaJjKAqV +sUXD6Eu/GqU0Ho7+RxmtGIvmyuIpFsJvDo3D5B1fz0gmKUF6ExPF0ZErUIHVoUmGfzuUhmmOImxQ +N0iCiqq93zNjDAOAagRL9UdqnzHU1Sp5Nbu1+L1GUe81QVfFUxl40dSOYzmXOAh3qB0OsnfydmEq +oqbytY/KdP37yXzIOY6XNHAFmvgIX/h3wl0zZyRGg9D2K3XuINAv6EaH3TiEfyYfNcgvIKaY+Y6C +iOe4uYvTalShOi4F4Js9hwxBXnXqwEx0YtfD8BOCrNEuE62UL8Bw5sFmb5CGy5M4KYtbTLPDkLgK +llQ4Nv1ROaUDwjbuuX5dv0hfPblhC83v446CmhMRvvmJ+03HZFw8ruwMKvfTxGdHU/fCKioSrJ2K +o8glRk7nvV7DY7A2n+IkJPiPY2MExdxdMVWIn2yGjA/DBPHadi2tHIZJZ6a1unzMxlgONwsCYnCp +kBexxORgugWW5cazxs0yHOkupNJVaWaw2FFar1H03hw5Oua5HTk4/J+PW+e9qKE4zGWaHtVlaROS +9LTpokdm/8U5r1zdu/fkRWmjmdoTdfMvUKXwpl3eh3zbH6LuRE03idmfrXUSRP83+A9Ps/rqxUIZ +9+FoA+lRhYeexaqQOskoEnjCrEAfFEUNUnIxI8cvGJ5TIFUs3zovkYM5HW7ecPJ7awiaxAAQA+Jl +3SiAQXDePLgrKj4erpcxQsCujYAD5QCKh16WAwRNqouTE4w2fjn7fhkhhATMMjCb5jKbm9QYzPWb +lnjgYcfqTCEy/Jveb3rgGA2hpeWScmw74lu/oNKzly1Otet27fSXyf8ykiCV4GMvxZ7znWX2+mI+ +oBRmWA3xOnO1RBD79VCuaGUD7I9ZY5fHKSdlfx50KrY4GzotErXkuLOv3U4M10qlctrfTo6SsQLO +gs9+mmZIHGUN7h0xZgFzmO/nojo3Ca9UZzPXys2wWpHqJU7P+OfFKtoZQkoQPyLKTDLXDZjERJeO +MsvnHWo4XZwYjjBqJYAwfX9WsXaxdRBUtPahY29opbZ5OVkf+ksuykNYLoJKE7MVc0MxQN6Cvlb8 +dJJZhvru3beShTfs7XqoG2rgIY4XzC0Q+a4sK4+0KAU6kam/ahlGVm5Tmw8pob+cFae2wVif+X4m +iiOETVQg5BuQ28x4dpDsF5lbZqHE3+N7Kxog8RSspTeJEWHRqBc9vUpKaxLs5k/u/sVWMrfGNgdq +DS89BN0OXAAwX3u20VzHATmYlYrWrpzcPEhqaP/sJWRnVJD3xwmB/9cohYVR/XEkdjWPr3QSPSSz +6yOmWaH+EhiUtKngx+FGH7M008k9CaepUlAeCFNTThFDAWsXSRX/PAQKUE/39qtsMtzcypX04XV8 +S9eCVLiyVJpbwxRKnDEDbkC/RFWZjmEJ75jQ7CepUEqaZRRQ6OUUp7LBxjlVfG9CP6Oabx0Nq555 +tiDvcs/QWwLfpRkMTSJqp2M4/U04Vb5dWqPAS35iCUvaTtqL50PvYzBcuilSFjL3fn9vehd1yDuY +zGSd/J/yw0idQxNQ1ByfTj4h6cWMas48w5hnurjcKJU2TQmZUzzAlcgdtYctC/TIaPYxSSSYMXhz +RtVUIb0H+QPUahMJ3DOJAtL5744n/XRipANgnvFz4d73aAakklzWOHpDGMbImiurGi8kzeeKd19d +O5TaL1tjUrA01FX210rbndHqyiDJZYL6c3Ljhs1qBF0qAU7Jc5UOYQ7dye5fhXQZBADEwUxv0c/H +8gDGp25nOMTOUZgPKb6iX5iqEd4qIv/shTgm1bhjGfkjL954rVAAjn0gzQBBSxxyAFfjGKL05gIm +1pThLyw+Xo6AunxPrrS91AWm/0LCefreKYNHUYsOXffj7XtFr3+0IGaLdJCH2uegDLIxMVw/gSv6 +U6kUTrCO+rO2CN01NKYgUlbJkvD9WfqFZIeV+6iHQ0/Iu1zAqN3IMEWdsPkeVt7Ipb5/1LzS8UI2 +rof6X7ZqvZygwPv1xuYjyfHt9RzRlsvDyUi7F96bb6BL9PMTS+uGJWYS8RVFfPMwyCYNckC4lScc +6vFSYqs4ZBdjsChlWn+TC9MH4+zhpc5R+9x2452mqoATOXJWC4N8yP+LNaEFjbwCTCEVgGdJ+BdE +HjD4+aJf4+ZzlxUHRw0xW474nK/2qN3bQXZSuwONbK0nZT+ZeDtATIzDkmwEkeLN2r0p+V81LQrY +NF8W24o1JabTHtWFO+k1zLRglcwpqpbWbYgOrcJYPUdmMgfi3fgtLq2l8O/AvIsOaohnQCu33s2z +9hiKNwBRfCEp2SHZYaYMYd329OnAi5u2bXuM+3O7yFjgKdT1hgvyefEHsKKY45XsF6NuVMtds2Of +7o/B3BhNUbJvS+UghpdPqha8PEVivVXp5lG5m2/JnP7JcmOjYX7998wpnM2TjXYnFxWrOTByXo7j +06OleDhoVEMazw2yBXp71vX52mdGyyLG3V9sT5ujiEbAj8gppqBKqhYJBA8E4aJWSAH2E3Dfxdqw +8pfu2yTmpL0QUOlRpnTUqzSHLqk5Y587vT+hrq/UmohFvagmxqJ+LsQoyLWSwLkcp3yQS/az41mV +XFSU5pFzNGgxHBUOmv8/qQL2yP4t/Uj5Ybj89UF/BZUi3aCSJ9uSeafdbG77DITDHrNo6H5wJQkZ +72ZoNEQ0TVadvxepz6vocs2uTKjVC/S8lkoelWxoohjYABOsZB8KZ5PRwc+Q7JRDVOEf+8bF2VM3 +tno6N04QtYxh++ONdOslNyQYKxlvEffb040qSWh1EJWtEkCf3HtLpXrDi5druu+Hcdy6xbq7a66e +Oz61kmC5tjZDdHBEMf6+05/1ZLXV9d/AkWhQ95ByXkhtds9n/vO8VSfDe9emg7Z5IVzy3rPzaybB +C3nVJ3sRKpbdYeGFwWUU2MFIYEGd7B6VvHyIQnKeFQzXS0/q3NejAZZn4obibL5O+AiHS1aSzNpd +KHRnM95WfBd4mraFf9dfwGLoWod433linL41HmJIIX3QVfMgQLIlQBBIIeDbuKixyVgXvS1crkzd +BuzWDM6aCjUJSn9v2YNc4WJkFWbDLqNq0dN9eK35fsgs7Iv20bYMOd68+xlhzeKKGXxZoG+l0ZU4 +As6sdRgHefcsQqJSP6jPPRPVFo2J1qKXu80bnVJjgpkEgarZH8DBOPKzfw49eeUuAkXhb0EnqjJ6 +zphOBlEQuVAvtSk7/Z+OXN7oqPasoSJAgf7hPHUfcl4vAJKFDTpaEOvCg7bEpKHnCw5Brdvet/Zl +DoLpU5alkLOy9u2SVTjyT3RQac3sB28TTCLvP0Bd3hmrJVVmOUun7JWJYOr1HvbYirBiU+MtVdYW +sydooEk6RWXYoD+sNKccx6VxARP6pNSvmihdFF+TYLbBzcUJl16pbMfgrkm4YaLWVIQk4KroMH7E +SsL0kb1vzdgkHAbw7VYwPPMmblzdH9lS1qybJfIa0KCjor63vq/qaJlPJRoKjF4d6WY/VhV9WhTd +uNyhrGaVZSGPpbqHeOt8AKDVEzTT1OJ1DUSTCWGM/Yd84MxbcCiuukfcYtDZDXvlA1HbK9+Huk5H +XUx/Npx/Yti2JOmOkO4BqIT0sIgQ3eOk5uyQWBPD+ME9PYl8ylBHamBNRXLVgtTgJQfvFRqpOSD8 +cusJvnD5AJ7whUjAFyHdQuy8/HP5RRtXLgL2sCIMLrkUedR6QoMQo1vwe2xmR/F3/S0MyxI7WuFZ +P2E8YP6f7jw0NzTmWSJiMGeBHugTdkV2bipYnmcJOl7xK6rEjxTvxprExeo6ny7G+72gd46C1TJA +auIGtNy6IxCMRvzODnVurQ4A+8yYwJUffobw4iPVJ/Abi9D0A7LE91IY1TRZBrkln0ozoZpixdQh +pvRO5iAVHH5CEquPR+B7gzUEoLeOH3qrSXVcO+dwJp55l0rDgXxAWANyC2wu/WkcIfdeyqPWXFjv +O3Z0ncyHKxDV+EP+lqtKZivw+5wBP8ZVErg4qb4dZmd+RDxE2eRycLdp6k5uVtArWn/hSlHUGUnP +BFMT2hhMiKVhHrT8djQTaXYlItcMXfPIG5cn6EVYyfr77uBvt4l+wCM/AQqhHtu9OXhQ7ugeUNQ+ +0hzMcYjEK0s6pnut89B1DUytbjwlHVGrSvUpUQRWTzzczaLTnGtC2yVH5+rFFkKwgc+L4vHodT7J +2+lt9/bpeo2nvBBWlX7L3A34OWRr+wbtVkLRuT3HdKWZlZTWIZQJiPeP8bh3YkYmZ03bB1ovs3o3 +9rzKsrJXD5KD7uEthVUZQUc0ntmoYioblCL1+0CKDJxDEEJQoXv9rMAUv+xXA2TfPnHKD6yUCmuL +nACp+ACHfo/TtRuBW8UHcY6LYV8GeBqWcDDo8Ad0e1CNTGyEi/EjI/ctHECVGaxVlw7pOu8kRjh+ +O7xdLgMQGWvJ9rENgfywV3Pz9FJp9EoLEtFFitiugOgqvnhKz7yYmF3MP/fFDptHY6be6D295wZy +6WgrkbUCuSLZGYk4QCaAFUFW/eCctZ1Vuw+P+hgwsosyESJ1W51W1jx74xAIUpYhk9zUw6UE6ArE +tC5yhLsyv/ydRgtZ7OkuYIL9hMIy6wkRsO+eFI0y4M76nyOiggSGwSaJidbwFwu24VX7JxIfg3Ta +h2S2Mth8at3CCQa3Wo2u75BU9CVXZ1Nds8NqL4NvT/eEtx1ziUCIaj0VFDcjS8DT6eKCGi0Ys77B +vRgsTvrBrMHVQFOuYy+jGfKpAlmjE82E2F5Q2O09x48bl2rWif9j1+qCQ8v4sHx4+mm9QssQewqv +DshzF/WDq9wfcwY78K61OhjfRVQOa9ZKZ43G0ebQsa07zlEKL9RSywGQThA5NpE1YQNcb71ILKAg +2n50kqWa1XUOI2rhTwYC0nLddzCDvZHJrj1BWXijjykEzL8omJghesbbu1GMhsvNLYmWPjHcP5w1 +EN5pHQOhAzH32poacWi5huENa7UTfYxvRuwx/qsQux2YQ2QkV9KN31FVhXwpSsCNMaDKpRUG0jL7 +uJzWnzrEB7KBgsiORT+JU9oIJlV91VaU/IpM6WTnkpKdLPpORFzwEEG3la/pLL9eg6OlV1L2km00 +XetIpDjRnBgQtEzFk/Q3JsKJke/2ZFXf7bCXIXfAAXjt34qcdfre83sgtldJKrOq4qwDS1lsFqs6 +YbUKtXQevUZ/8ksCCYPNb+cdAxh4V0dOE50yxJ86efRZoeJcvS/KfkjTwVmKl4/8N13v2RFIXNpz +W56jULqT5wtrWyDO74Ipzfq0fTqTYxr4squYAuX6Vyf8n+HCWnQSiFHg8nCmgKsi097OzaE4HA7r +Xt1owwvySPP3nwhxhWNTvLY4hqLSmBfZhvkTbx2LD/iY7cR0vIddYdIwbZYufXUB06aeDP4WcYx/ +SlaAur4wbgs+EeJFDXmDo+DzTeZIzuVDCQL21j5eY7dq/8ptOyZ1PJ+vJoZcF3dRGtz66Ip3blgT +zU7pfZWXmFW8BT3VYk5Nqc1ORBZbRB91nfaRPNxP2h3xIJ1ZwvTH4SEyUA2CaUfYZO1pKU82gTeE +NtPLdMh4AqVMm4cZyOUhUOrB0XlC7qxPi1phBl5bWpm/nILdytj+kraMwvPXHGCZNCnecWegwwzm +M1zmMkA+DkGbJnivd0RU7zCdF86niawgiXKWw1IHSOkxKD8CqIxeeDZrLCgTIynXU2Vy/OTL1uaA +xpJ+DC33mODsIYGy6b0jylE0xBd5EOlnkdgqJTWdW0ymUWhUTKlJfpi45jyo/DQKVYy2ZoqdGy1A +XvlZVbgvxLOKQVoPJxU8ZBgJ3yMJ7IP5WqQ+gBD85ZbT/ZWSnIGr34utzf08sGWhpGgGM3vPgN7R +AbGNaSH416/1N3m0y5GkawumQNHTqrAO3KrP/GmwSuGLMb4TIWg+ULcbf1URGmlpQLKAU2YeQ8xg +5L+B/0tL/ZNUFN7r9YUIj68egtK6FH+Y3CnUjL504EVb4evCz2b//1L48zJu25dntaCT+43DgOzB +MQfCjz3+2Dn8Z/BsjBCaEvV2MprS2LAJ9ekvAUaN0Zw/fYynp1MhGHwz7IzhxejqCRS2zVjPgI5m +Vfs1H6MvVam/+0EzSXYasBZXZ8h/iWZwXazDa0KiRzF1eXCcuiK0YLSJvAmT9czyTFCRhftJfZer +ECgNwvBbUwFkKs42g8CcaP+OEidOQ8BM+Ru98P5cj1YxbMFW/cmXOY0B+cdXYTsg9fB2K239nCmo +au4/76PZAt8y4DP7MEWyZNllpoDPYM/cWyREHziabxmW8JQ0tZxl9Ur1eZyF5gTgrn5lrmZZ23Pj +pHTT4+jBngjh68RiwGhH9bKHzIWP5/iPrz7LIvn59ULYu6yfT0+IcGx3l6p7g9UfxviLstSAN2E8 +k6+ynQl2L6atsxw+nbV9dYL0MfYQZSnfGtREnyx+kcoWIQE+DfHGhIdbCQNkdUISSzslh+AQVACY ++T0iYEc6oJOp3gzQX0g0QHqHzyl72ekyKPJEPTdxyyC7XAvGRE4q8Jq2VuVh986gVBky/yN2rd0a +7yBRXTKys0d8S9BQNsh882q1JRR4Hz9dfhIKi1EVdgvbqOrPepYq8JU5dI1LKEbHqPaVGFnijhsm +//1+pUhxEbTqy/KA8gebBNQghw7BjQcFQYKjFgro0FoSqbxAcQAgcJSQTec2eyWGKsd0ZIyj382b +tQsexQQOqWiPzcaCOdGdqE2zYnEpYB/TcJM4ly+8HbZlaJ+2s09QSATG93ieWqt4OQKiD4Jj/HXi +T9doCzPL6/Lg8y5mWGI51fDZkqcTZT0sPjvazBTDkRFdjgC6MxToGvpSm2AH5lVmxz/NW6YoPTtK +mFiIhUx/Kfh2My+6rAiOE/Kp5V0xhfrs48ADOSrFR8ddtYHv7IH9JTsedO/xUwz/fsYC8TYbhwwB +ueK+mo6XrpietHzRLJOVzMBsm5YUW5HDaK8SMmpGAEOHRO7iCj4SlhS02RnEdDQTGiJwn8z/3Nxg +cRkcUsThB/uK7rk6gfxJEtAemfOAGCZvYRe6ocsz03UbYZcJPZfs9NgFNQ+HFu61lMBOpEc5g3MX +NOCV9H2sBZGWmZ9cp34ZOh9zPaZi3iq9ROXO88FgRo9QpAxHAHmOmsHqYitqQCS90qfn3GF3vAtZ +ZFMyNew4WBESvNu4Y9fqr0PNt6wVUGnRz3GDyVEx2J1+SqrqOpsVHMwtw1qUNsj+/pbszuSrJy9X +8WWhpDIsZW0xXCcI3LFiBGQxrk+fUYGyxrrO79wGfKO1kxsej6sKBu/EA/4IjAvDoBNZuB7MFJ55 +kdyKcBKnnkzlSd1N2Uf9LZH4SkzgDhu1CYhWH8oMGPvgYsF9b7EPgmQ53lOK4MtSAVIQgXcC84h8 +MXjEe1AhiZrrt7UKNangm8vPfn8ZagBPASmM5bU25nC2o6ptyUlMx8NyFdAiP5a4Sx3V11H/nohH +0aNNybLvHqTLm/2jCde0zZrOslXHz11Jn4TOOIrHnhGirVWOTGrAD0cxtz8oP5qMQEmEgV/+TFS2 ++ix4Nrr9VebesyehvA3x7DHIW+Z2PsYR0v7YjcIV3r9tj/jlrSrGZUB8LcHfJYiqF9YkgY/d6QZ7 +wovRtneDCD68WOwbED19QKPUltHaxfMMf5Ei8V9FH0duiiniZBaqdZIvaTolYNta31BDl2ZvSV33 +kOxtQsWhqu0tcomZbTzj/vn1I9TAwEPOc5sW8T495qSavHji82yayAsuNr9yvIdYGcTfrN9o0y/S +Zi3GHcr1oMimL731U3BxRhaADNE9hNnF3s5TXoIyIXG/bTU7LlPBn+mc/5xA7axhNP/vR3k4083F +127puvOi/2AFYS9Tg51qQAiKz1M8bgNPiInbQUaCTc44AD85iTS/Yx6dJ/haHDiqI6U8h8aznore +Bved+wYpGyIHj2tlrwsJ4jqPGvafZxHo1LCRpjkpIWXYUeGWTVt0nqVVAUL/1yxCTeRopWFdGHLL +LQ4w1e1br+z1R1P2pi60hoB+uW6N7kUO8NiUpJ7GWZOm2gc01BBtmmAuqrOpeCaQOiqspbF0t2US +SQHGyEfyYetXg9rDF9A3drgmvs4psr/wZAJSXlqWNQQdsM1BEwyUrbvc6cjABHKxyMqk0HnQVw3A +3JqjV6p4+fy47amkHt3Qyx30ZAGgQWA+JXvGfqa1Bl1MH41+Kfxe+TC+M71SEG+Ik3DM404kxOHU +LLBzn1O0+q43//KBR57Uf1nUUe4qSDTYoBuuWrJvK/f7UIY4gh6zNWlJx3Fr1SyHK63LDw+CzDv0 +GnvG0UL5fBXQuCKP3iD/OlaFYupDh9pm6t1dYlVfyuGenf1P7Hpw2JRItngsk/NjB8ZsASkbhAWb +T8K9sXitmnrxdEvrhA0k7c3V5mKhhVo+jW4uxWeRCD3zZQ9zdSmUSbICnVkg1oyKzojdmYUxUmOJ +2EuqBeF3zchVihPIFb4664lYovAhgGmswX+5FwlGq/Y/hY0h/phVGADdRXPxdthjWdRBdhDKX/fS +x2blsMmHaZvCIrF8aNm4oJm6jYzic+lKzYg28Luc5Xode2E/ZdqVv1dPN4Sprp4OmXmdOGuqnXP9 +iomOZZk7KziSnX7y6z/pHqYKDYAPshdMLe4dPuX2cvIn/6i3/QYhXAmunPv4NfOfVULilankmsEx +U1/co9fPwUYrphFrBD22LylYC888qqi+wVeaA/ZkSiFxzshEdiQhceX/+1gkgCdU5c5j9xnvemJs +6HpS9UWUSW94hQkyWqId6/fkshcS+HfprhbeoHDJy4Po8kzdWE4/8bNLaSX8Z7bBm2qpfmr8eZCw +qBkzFFExLHx8vJ2zEaVsBPtxQ7cquMc4z1/EUJhRCZpAUGK3M4y0B/t2aMIFHH440HmH9nfscwwZ +Z9GR3J15FPzxrxY9cGYL3nrN/izhIg7zungU4TLA9dTzwVnwMk0RGv+hl19wpdPicSuPqY1vupml +RB6UEVcjPnOt8xKRpaYLKq0I4wKE+hLdXlg2Bgeeb6GTUYS/bzjWM2S4bThIJQ0hPGBKhfWYH6oQ +6eGk1/edVjLyHNOv1Evr1THbFAPRNJQV5sTmokrRHvoZL6TwNkTbn7iZaZQ9lqYXzi+u4BgY67JD +y1A0KCG9V1aF4sNhob/X8EUw89sU9JOy+E2QwtgI/vfnVZrTf17eezVFIt82gCeYpQRYJ8Cl/QSO +ych5w6LjGj6JDxvAjebpi/ZCs3UQ781JJqlypSCSaXt7M9k3qnHPd6+RBPeKnVGdBdpImiewSrMJ +sddrKrXtN7VaNzigm0ri4ZdNI91wCl5jBOo4rFCPAQrp1Gae7KprbOkFlJRKMpgQAvJbEGNmTabM +wHlAuue//HpnHdAIi0RVacPP2gL9f3k7v7mNoktqB7UwJJjUeipy6fqobSVABpZijIwjugqsOts6 +EOg2HPPXmAjoanWs64jR1D5NyWz60Wmn/i6mvMniv49J0ABNaTKUEMNpJYPv+i0XrqUruNp+TXM6 +A+obCiqN5uloSfnsmOUaTHi+6dnoOVaCiVNgBhfHYKuutaichIijGI6fCnJLTWCdWdM519apXSYo +eZbt6WhAuTYppNfN7NO0pe9srbfTfYbQl9k6O6cC975p8fge3vmOUbLr82faqE44hJmp8oSjuTA/ +KyDr5IiG2imijEgP0toqsNkJex8yhyB3LwWQUuNsEq69zrEa9NycUtR8oa2co4w2MwCqaH1tLshv +GTce7IhEyMrXV/dNrcL88X+2wrNZi5DGtl0yaAXy6NcjZ099xh7BZlivz5sDiF93d1OTmPi7wEb5 +zy3r4f7DjW+tvs9TAaEvPmRRoFS9LNhqLUVQf5in8pH1Zv/2brw3XpjwFV8+Z/Aq4Zg/Wg9ahOeE +/EiPGRVnZL4XlcKK7cg4q7RoyDaoiWFFoiXwCiODfhu+NEf0KG+yqlEfnMEL6m8QAL7rY1JB/ulp +ykRLDd/It2UAJQxKDhdKBZMvkzg/4udZRdcoKK9/h9NABSjGBsS4QVZoMTb6NkLuQj3D9T/SQLhK +tYe8xY2xJpKlIsTof66bLiq7b3u/4IryBZMxXEHK0ZhmVRZGm6K11QOgj+FFUKLgNJRSblerQ9Kc +suZe8SpytPiZUoCzTxyqLBiffnXZusR6qkiFH67UjgAYxhv4nXy6L/0H2GstUF4rOkO3PwRv3kRZ +JLonIKwCr25FLht8qTagsA26NM7D/4PUb52WUEbT8sojQqbbbEqtgBDy0TxTc8kMj6YInycbWw1F +0sXasyM2GjfnHtp/bDSAAU4OKpQA9ejxohgkshzXdeiOxx3ccTDM8452Vr79xAP82Ml5TTvI1+zg +ndLGpEF3NUS0VZZbH8fxnanSIzhIBsBTrptekowij9Va1LYI3Vu0gVoFP/tG/Unv3CTz78SGa/Cz +xIheTqFHWVv+8jUebs7WLjDWLSMWT7xiJ1SRbpFkXVW0z5eQgwOCMJEhEmWLQqlEccCOFS92YvH4 +nSPkR43p/pc7j62Nktl4wrpZjc/JWw04kA9Jf4ya9/ew/w2867NiauxuMXvwbW5YkPADrRITxhYo +ekIvu06unZ+08OYvb9UpOKgYKk3d70gE9fokFroI6I8VLfSWNfWaAqgYCZkw74A9Fw09ow4w6FlN +0DpcQUd6p/i5vBnxY+SM0/XYIZBUDwlSJ3BeBPLS8NHDQiVjb2bzfSFrTMueyyPlTaomFg/V7a9C +poLquiQjaCahoK9NguI87xq57eJ+dGQ7WB8cC3cGDQQOxboqiUwQjZYJsJVqJDtEPdka106oe2nI +G7Pc1GyNOa6PakobswNjg16RO5W4kjuubDBTV3wwOUZ3imrHGuTCiOyEYVH69SsIKlMqz9+SqcC4 +TiCJTRoGmKKxelyCAcpjoAIHpuIQ31vP9pi42M0M25FrbTbvVKALlx69brigJrwpXbczXO9ajW6w +FAr3TXoJigwKNxrhuFDKtbfLBWdUKtlxtHhz6mHBMzVFHgveHk/ubSZNAufnxc4FFXwrOMg09LMI +v5y92ZEJY09Ad2EvELM6Zi1somigS2oT8oVsc/bSPRIWHgKvJlbl6bH34Dro0Zhq7KrLEPDvP2LT +L0wTLx8JpkhvYXrDQ5pdOr2sqx1JxJBaGMFmSbU37Wz4cN+iuV2LHcQlT6gz76CQsI02lgVhUR1h +Iyxfg6mdrBScbGo8fRrcGXIH4gqA6Mw3ugPqjbr+DtFi47yR9tfZ9p4HZBfYvJ87TKbNOEjvOSSx +KCbMrQ0gm3itQXZCgKAPZ45dcl2bjDvssbwTfXrOd0Dpemkp8UU/YQV7e7Uc/ODOTq81+SCV3cns +gNg5l378CkE4kxS5Pk5493lbHVeuzIXvRdmxifhXDQT1/Zvhvf4mqOvcMB3MJGbLzMEz9RkPW0GN +dQ1C1gjIWAOvh2gTGIdBy0iad7AiqNtXtVMbcb4PPSXcgdmbigS6/+yzcNgvR1LYYcldM+bdIl4n +xy4icfGn1Jg0SkpKkmbbaPYC3gWT2yVrnwkOJJ8eY0drrovsmW17IiCikMTJg/c5kJCgU2dzJvRx +MxmIbFs3evp4EZJLyB1f0Nzq6FuuUOvB2x3OhXHcpt6p8sdByePGigYp7mkD0v/frzpihGP0Mw2B +fZr+meBwDKkoMcpxmCUgi3u7+mlzFC07itIvg2CW3vUkyhvv6UGEF8vTiYBGGGVQUp1PhwuFK+BZ +4nIMb9ZYY1fbZYH3oEXycJzgiIF6AQxOpGacHiUub6gewrsaxdrFxLk8fEp1+mNTL0yVYleu52k9 +ZsMgTokBMwohWVhYlmV/ONplDfEIVfP57DiWqRc9zb3L7YihECVKrlsAAE13lmWaJCwHMLu5KCN6 +rbGZUV8AMVUN/aDwBjaFeWQkblmA6BbgTn1eJSyfO1Isbo4Xl9KFi4N2FdYrVA5cBkUE+CdP3NL/ +S8hLVR11zvTZsJ6QFc2uVRQ8QN5Ihlj9XLh+Ba8fuY9vRaupHlw7xVlZP2gwSXCFvCCCSxat3uC0 +AoSE1Q49vuzlnYggw87NgBj9Yuv0vhu4NHBvv2BDXf7VE16oVfrXEDcCRP0HXXUGKvltqOxvygFf +byqBdHJWgK835QgC4FKPzqDvfT0TFTkML9Mvea7apFnQo52/frtgD2DoNRsdRI92csMy6C0MNSHv +B8gGcCnYI69ITiiXhgp8pXfZ9O4RA0itczBx7YyYJBOe9vx7yfIMa1LkZcJH+y7+xjArpTa3B+Rj +YR4kOGD43xP3PUzH/0AUlw28+ah7WuCkt8kiQNB4ox+bLldtrdDTJaAX/BiaqVqggvb8Cipiu09v +sI7lwtCFPGgk0X5TqvUCpCu6e24WB+gWQADFybWwqoP84STbBDw+6PK71Co56NyO1WzE9yKeOLxc +A7AtQS1cb/usfazdw0UtrNUyPDioPOJwUZE0OK+hCMqi8/DoNZqm5HU1x5bDWKoLdlGY8SrgQuAQ +tpXaIfq8lTWc0N2sQYSrAb1rV5RdlKeB2C3uxeSBqbuszMY/2z92ql8q+Wch29n85KnArv71RD+p +bgzR5ZuNdPx317+Q0yP779Z8l2ttkN8DgNbn5jJevEtoGwfdqGBZalpELvaG0LBPTkeITunyMHv7 +k1xDEV1hb0/mwQ+tjh7qdvfhjsiZmKE5yTIaeCxG220h57S7mXUIHi6JDSglL2kwxbEYE3kS3Q5I +214dFcoA6euUjPVenjRaITeGCepMHVr3jinr0qtOnQA33lvTBvIKzJUCM+yn4vaeU3rym21rZgvz +ren32GnwYn374JtJMZDR2A2MxKrMIlyLxlx9RayoNEXbfBliwfR1wDV/wjqgvVQiMI8hT+lnHFth +huverJubtz1nPCzbWLCBRCdr2LjO/T4NWX2WhX+OXc+ZZy7dKChIVe6/k4LW81gLaRgf3wHzDlEV +TjHoUuvkK3VuIF6GD/9Kcl6kOldzDB99eHoKwNmy17TrSWgT8HRc4ItbcUKNgA5XIt5XDpUEgyHc +RzPneIXRgYrWd235Gg90e+v7WxbaJP4Kw9xMJkcN/PVg2XKv6U6iu97ba838+n3jMtDfxc7xZDLI +5Q5adfxJMI5pfIM8dLC520dXL4u81dKOs2EKWqDYxyY36roBQ9Cwv6Bu0qhSXjUVI1moFO9nsKzT +CckHDpex8XtOe046x4wZfDmDpmO6X818xG0khajDOgzsCTAAqcuI5mpPD51W2G5NwKxru1SpMvbi +LH8bmYBIgWj1QBySNvhjMiQV6wdZpjTNv+iBn1ngjIF2x2fHRSsljb7Y8xE1HbCV4yk7r/8YGt6V +875a9OX1KDJ2thVYcqAHXJNLxByGv8VDDtS0fa4EwujcQB6wf51abmHBOrHVTps9drQSaTI0OjZ9 +FtC6NSAqjA3ys39z7+ImkGInQbjuEdrErp0rG3k2oTpqKqKV3p1/I2OhqSnx1Pq4003myVqZGTef +If67jnursDdOs++0vd6KQbXftb4uHyGnZA+DTWNwi9TxprDH6ZAh3O5bDRkZ9m7Up3ILXKNvjrZ7 +wi75MQCYL9M8OGP0RHcxHgAsLi15SSXYNEKeMuH7qSFYeHQ9sRJLnx4a4fSsOdX8PXngmewmNmFx +jdlEg0HbQTBKl2YH3jL9wYxICdW7wkfBmILznl5kAyDR52PwN46XW4sPS/cclO/ilnNBsEhw87Yw +fJ39X57dd9ugo0+RveqV5fc+x1kh7ko/F+/ISz2xt+7X/P95p5oQVCrBXflq1z05wZcGXUIAOaMk +sOvpYGAOWzNn7+hmJzLjQT7zn5pn8g6A43UokvM1JL6yBMqyaeZ979CicTdN6zI2mR06xCF56ELR +btHyYwwW5jMmZcP/FIO8292Uv87Sf+iYAmctOPw9NnKb3nr1yABOiwzwcYIaCbjAUkfjQGUDYGCe +CJSIvhBGFJ2vCbH1jKsWaqYcx0UXTYXGhYEB3wih8X2uALdMwM89E8zIMK3wVn6qcLL5XPX/IKX7 +WjLYgDu0BsF2BneDgliCIW6h3speCGW+b9K8UUHB/nu7YgxvCs1pVZvvYdfHajCNaAtUSDQFvfHW +hUaDc0lsj3rsVpqetaRveqoXyXQCgF5CoSFCHOSFvd7XoX5TBR69iAE1jpuQDQD7Ib3tl8sqaMsw +LL+qGRXgwzHFMmIRBxgbHodWd/RB/ofdIA6nc++Hdd3N9Rhyh/Ung19sRVuVHXVbvLdPAJBoLccx +t69VoEXZSGcII+fW9FklWJPYMdACnsl3A5NQj0rxNsQAVRKXvf2ee+6qR+QC6tKZoiJJVoKbesfa +fjmvRtgpHae3S2FVrHJr6LoxvQylvNR74S9FodMNtvvdXFcmO03aHE/ybtbbCb6TrqiSWv37xtha +yRmVBPpXCNTYZrkDhIQ9eNUVLjXh8N+iIEbHGOt0t/YohXX8uwxrxdZDiM8ZeCtl54RUqdDMbV/O +kvad3Atmd4HQPs7doT7nsi16IN3vpRuVxEB+S3modrBN6As7eohJeSA1joGSpa9xkkgmMGEAQG5t +7CaPp1Dvx+e71VeJk1BX9QKkJp1bhDI1xjhrlnjOWUpXQWce+jfJ/08Or8b2SSOQWSnEPbF6LtWd +H8xaaJdAyq17RCaWtiOROXX3dYGcQcrIXTxLBf9dhArFHH6A9U0Lj6LEI5aDNsRnIgAY7WWtzRaH +xXPgx+hpkecBTZjpUGR+sLc+ErKiEOqfl1dmPa2WmtTQ/tx96v8nu5CLSqTI6gOFMDB7A2TV4ZNI +fbAJx0sPE7ndxDx2Y+s+/AbG+6poZAZxTaz9yWSFaepwI9V21C8K5VjKd/j1OLS5viL3nmCxR/lw +Ed35NdNygAb+rSZz4FD/cSj28p6pEPj6+gTmOUvFsa6EsYRCQAQ/KogM/eMasawuBv/rfk6aWWko +GC751pCIDb/ojsR62RglP5zPeW45wT/Ex9K0n0tDDN1bQjvWFn2N4S5r2liaVmxmlFG2CdJ5MV+G +qimFYhls6o+GQtKVfltY3IwfKkv2jjI8UrT6i6FUaurCPucUKrgCwzOmm0KbczaEA8kiuYzZaLDk +M1tksNi/nuTw4RcL8apVntUwb81tXL1CvL5ZKkKZvaLsI0W2LT3Cna/neEUeCXI2DsOwvbxZ5kOZ +813MzpezObeaqCpqioPOwykQmlJjjWUzL2kbAG6IEtsGPsfnYSN1Ylo7khM8Oua5uSXAUtSbuIPj +CVYoZeOUSyev/9dvsJIY3kdnuGUZSVI+gK0JGhGwydIgi1RjvA2g++amcZlKRSiXNW9ASdO8fHI0 +FjqDYU23+i+6Df8YXt+hcfBxWbNPw1m6q2eLHcOai9mndn3yYafkg48hH62cxap6YJ3K+k6jO277 +nvUtD4FDBWPAbKIpxjt3FPRk4g8KSWXKlitQyYJoLhNvX7Smv2uw0xmqQ+u2qFnH35lyt5UkAahh +rFRfCRMRYshyVhIB8Wg3mu5w43loa4W7NXkVcBcdM6FXhrJrM/vkbbGV6S1CtYtDU7ay/RoBl1im +feSPey2G1YVVpT6lhyZu8ls+pctdt4AlxoBmkQtoPwi72rVi9VkamVSmyG58Os6WYCtNTQ26F27u +RBw4q0UZAPNoshw3DxdVv583pZtn0tXpR4DtYZlbhUJ7kZ819P6t07Uc0HPOP8nXNjoSbdy+Q69t +zAIvtQ7SGmFN1To3R3ofE0HFteno6nB7IyEuYOGswGRnHA3zZQuOSajaEYF28RjHx4EeU1exLQQV +i8bTHd6brYgO+KfnV/dILY2hLzjeEcrvjk/xprNCnYaY11VwcxLj8rluJPGhj8vBtEoekIXuJJmG +YcLlRPkvkJQqcNw0YK485+rN/MphaugiFun54gucXFOCahZcbiTjZUXvUm9AWB8+JUBMat/nfIrC +AouHKgGynKQaojjYc49YI2TOlVD9mhaaaOGw7ifbNie7g2W8bUBl/wwQYztx8nBTJsNYwjKwSE7f +jqmh+nnvgTs7CIuEFhgf4WDhIsXpq/RrdAegJMzHe0RWaJCOmzMRjfpAxysy7zp1l8qIwwJatPi5 +lq1R4p77cpCDjttjcFsUJq+waL1+hpwpuMoXfCly9q9g2GgoJi5ynUk1IaAXaQiu5TEqqVrG/yka +I2MAPaQzjI4icy7NQUIv7XpB5oE6F5sASoarFxvscZpSvm07W4HTYFTn5v2bHfKS5MkpEq0SrTqF +jGWN03q7UOYyiD35Yai4oYTq5aM7GMAg1NmtEGK45ueOe04Y1UgxDP8Gw9fJdmGoozV4L5+SFTc+ +/CJXL6kUtuI8UByiST78DtfKJI89Sg6TntPtLnQpjbugsHQFV3zk6UKwF0Fxn2GepPeWvfSoTN6c +serShHmUUDZzfQ0cxmGFsGRNLyKtDDHxBTlAt9GGYRigfE480hlhOHiutp1Lv8R2kKewBPzgfcpd +9zNLVEIlZEE38ByOidGgKR+01Eg1L5OOihlDZjCSIkME0fDIO6Js4/vYwUOMDDGud/owB0UTvkxk +tHeD2KAmoMwUf1Efk+K8Y7dN7OXpZKYWsKr4Mv6/ekCESu4PCMXBCHYVZ++rQHV/+LOYhAteLlBn +GegqDhPt67dZoca3HAh62zKguFovLwwiHwDV3RpAiMdcR1KjHquahuVAfwEyndne1fO0Yvt+5hr6 ++Vgi9TYvVB0LKv1KbC8H+MEhda9iFQ/ij38FHcChwLJEGgVeacGzDgg8WgNns9ptBML4HCSRfS52 +WiK4iUSibR5WasACkAhARSlhwTqohVbq1btIDd63mBwXDwVXF+xuErfV5uVbjcC7beP/sg7gSWff +b27n5JhcG47gx4taJAWYelfE8glzcpOlajpscD8RU0BaqUGqoyRQRlE42ttR6tl9AwzRQDXxmisT +qwvdoCe2Wo7bfdxqjabmf3iHePbjb+vxLI+Ruf0QITa/01h6ejJ74pMzEP/J80Tl3aUh8xPRL4PG +9Fcgpv/dECc7CFX8I6Exf2RLDLS/jRkbx2IRsesPbfaNp4KFt98v58AtJXYj7yaeV7xcRQLzQOXM +3oIGPnG930Xe0aaZ4OrXeXeNqzZrDOPtVhqRhh/0wF2mjO9CdSP0sFdkpSRctHNEI0hLVfG5p57X +vynyp/bC4lXI3NiNmMHJYpgXCI30dJEiQg+jq1bqAc7Bo8asxY2pK0buXPrBIeoo3QsuIC3zrXTn ++9DWfd6WlPzn4pV624xD5+TPtz9ckxEvJVcULMTd98a6A/UP9IugOaMld5W/XukolLUzhqtptuCN +nB5fiK0x75ddh6bmgzIgszQHphpKrrAb/k41VU5w/hbH6eC17sX1UcZNlf8nV+xC+1Lksql1sDtW +ce94YFk0JjMaQvVbPgWufHQDjK/813EOzymxWLEHSZylNY855piqCCM4H1AS/t+7DAqxjjzktBMb +lFTC4Ae/l2On8nCKNKy9HU+Ub7edjpK0YE6iusy0vCaJ8kYYdsh7Zb2IKEM8Hzucmw+PvIQw5wW9 +I5MRpyI2HLDPm7Ru8mf8T0zaYLlNDex8ZgMe5blaUXdI8AR+f4HV8ioR05rlSvAerbG/qK7W2Adu +4ndcJndo9+wbSI048xDjh0WdKZ62A2H6EtTSCdBjj67wVDJknM4lVEWQWCOWCqDz3wA2Mf3NDND1 +U6NLAJVwzGQo2mYME6gbxBxnAGAtAg1AGjiZyafmELL5WUshG/pHlN2eLi3BkiNIU98h9YaL/8Tr +OluDBb/Xx6rQ4lwjaSxQZDppCWL41ZUBfJaZ+Q9qKbml1aptns8EpjRde2zBZj9J+EQBEFOxaux9 +/AzSQukHPgiT5PnAz+JxSWyUH6sir2sQfCQISERAd0UK+TjDYCBJqSwUm8o3wP/0CL6kS+6i/lhw +5uLk6J/JbTF2Uj/QVYtD17Aaput00oICff8aq+vM6d5n3DwbddnLWkknrb4J7C9203TIP2t7RNih +OhX9pbKZfWsHws5j3/NDx8h/lT05TkE12THMiOnc5VZj6ONgdV0NhQLJosXL6NSX2XD/aYZA5jtD +ybeM1WpU3QvjdB9655GGNsztbkH6IBtEQiIFQ0CKfAdAnHlhvDvkOLNm5iRSwR7LZjZBN3DNrr3B +l4ntW1H5OPlzCwf+cgxIsJkJvUwtF7VxhvsHXycpYkdShX3tKSUloMTnBJIjJ2mZE+jux68pD+w/ +L3jUxgPdKSxihKCkVsS//Gf9qmkLlid7xwCvOP0KsMc3IyIKfEAke/djSWjBwJ+GpFVhD8+2gFmu +VAqVAv3ss+iy/1HYAPfBZN29Da7wZIargKFouir5zs7jcw13QSmNNDI3KzgG5IeLqHRHHIctErb3 +p4AAhIx4PDHXk73GC0lOJSaCdknEXtgEfii010lwxEM5ERr0uAQTLQ6WWER9lwlQNGd5nzESOu7m +phrsXD9jH5jiv2jyB6HRIXMF8EpEYzb+DiYr/IS1JcZaemN7qBdbx5/POdJAcY2Vl6lTnPlYL8Qh +qpUVWZbOZPc3rbEs6B0dBIG2LYfvAF0nhRsln7YriKDXxelSFGWEgu4JglqIMGicf0Kd/p1cKI/B +j20GcweWOtoR2IIqsbOiYCDl4Hhf5ipWOGpATHVSOa1ozPj9fVdoKZe4gCDNlhxKngDOdyPVsUgF +fZsZcrP3MPlRJbaJflZWSCmshatmztOXcXUdOnJpC6PO9UeO2oxFC7RM6VlV1U3GnlZeNnZl9jjl +JTNaDC6LB6XfH7PKGMKlZ8P6FBXizO3FVKC4dNG0n9gKzAABXUr6vw0W4LlQoQwqI2VxJzOIBX/C +7JfZGgX9aPOtDH62M+W3mr7vmOrqfCNZCfA2mvb/SoyY5YBsqfjJhiTbQ9/T9LB8Ju8iNfxJwXMX +YqYsgG5UbL/tXMrympFhB9QtMR8aBQR8p9sH7YDQSrWA3fIsYoK1wbr3qrCHx8qG0CnRfPjm/Svz +4WbV/Bn9V0XQsf9R16zGLm7J/dXFAtaXm9GHl2f50JSOCD1RNMlddY48BWDgc5NwY283H7G+sEcM ++17cI14+1pLxF12y6GKsDEXlk0hDWO830Lqurg3FHcNlUOiyCSLximDLLSV+tQYxRZKoK5cMv98O +yaN5Gbg2YQXXGVD3HRHkXVdF58NZqd5Y0aog6WU4/xxxqfoAr+rfTAK/iQ5Ml4G4/UoT3sNO3d6g +HR9sFPjn+qJmnqkYquG8iEx9y/y08nQat+U2Awl+oJP+3mHlnlHZeh3iXJjqwqv5FGXdurjoYBhC +kvzD+IsaaPxD/inR1kvZ+P4DJ3KZip9DnlDnNPS93w20NGcQe/JskHLbxjyxNwmhnUQ7fJXRrgyQ +exkfgHe7hqBa2o5pQrZ+zSHXollPMDscbhCmh3r5gKJdLuHqH06W9YTL1sVrmuRQUL3H+SkravbP +GwSBvwu3Fy/5/v3hcxEEC6CgjZzyZqPfoAKmWAY7d9uJ6s8g6IUt6sQDrhFapz3QNiN4ad4o0LjN +XsqI7U0Hs0XDXG8uC01j/W7MMpVp7HZzatVuuZd/F6viy04X7/FPO3emYyRCc+MzhqmCZDcZsgqb +Lw8mBNiKrqssjLcx8InsxSzMBr8n35JHklbt0Wf8ThbQPVNHtJosT6wJnjhFWk/GSeHcNuT82U/s +qqcKIq/GqxwDs94IFQX9l0tM5cy8wvNTKSOQDmFJa0JmM7TYx040dYzvO54xpf35lnkGDJx628ZS +ZVD66ctqiYZPuPfgFYS4AtLZuM9ib6HK1VshqSbC9bwPjv149ZMyljtgVFiZgxoOEiQmjnRrqzUZ +6A1uY/GP68/XkCGPO6bnYSXY3DAfoAHtciNEWlnxejtGi4ZOIAQZCXcmJaikuGbydoq4TNJ3XHPn +9+ieK0678DbjIW12QICQRWdzwmrw+y2yZ9xP6pQFi45k+L6T4tAVoLU08lsu01V61ZRkr8OkJkfJ +UlLVdVHfndCE2Quh1YThZOzt/d4IQaBz1uDTu+FYhKey158lRrwuF3cM3qR5sWxtTXYEVcXwMk5O +UdstsWbKOwEmGq/yP704A/Uw6riWm83P/r/fQew3n2eQJpgpWSH063EhLJ/n1AdmZkjJUae6C0ta +7btcjY5IinVeHXtfZV473A6Fod384Cd6+f4NIVuTIvgZPIqgo5CA3jR+AzWytRGOJaXHR2TpPCx3 +m+4miRnZDUmS5mv05LO7AprfZnIdwBjuxsN5msds+ww6PrQTObj4AUTtL3k2ShxekRxQeHrIsghY +8HjtLXn/u69tbbZGbNdPH+uqyUO+5AtZ4gH0gHCh9tr9s1dWVFEtEuY0Ka8WIlcyEwEHmv7AHxjn +g16bAnDx6f9Txhba9rywxoHxFKcWc8N+f97G1kPI+rOCLtYaITW64Tts5qOebOhHD5csVp2LQJhV +P5mE0xFfaeuA9ODIS3qtLcMjeZ7hqCBlwY57YEYsygEEWumyWmYyQQqWzzAWvrHSgEGyVDS6UTUt +Nptp6bmrQTlE/wuOcLhKzsR+6xlGt6CxuI3A1zSaGXT+n68bpuo5w3vz6p21/4ECX3Weiyskx+OX +OAkN2fy1PItZ+/+hAmurlDKcaxNo/Rf0mwtR1+G8qX1Q0GU/el+h1EMogTPVK94+C13SK9eh3Y7e +BJdY+khPDp3Kovm4j1i3aZpYImRO8iPq8uzsxyN43eRwUUyxeRZK6mF7Wi00oJRNnHGDoZmzSan5 +X+x7f5XOUp94LRFJjJSm7qkqBAy7xUOqEARMo1+YO4n+znX8a3jYenx5PqBYls0tqAgB2OEAEJWQ +vtyn3Pg4Pip4kuy88Fh6fOUnc2G0B4mTq+Tuh3JfmEyzduTpwbyOcC3933hWR0BoboagPnl2p76c +1BrLIe9L5R8iQKEu52ezCIM6Y/7MsG69znfeCZGiruuj7AuFg5JsjBDehEiRyY8vk6S0lBxKjfwJ +Pe/k/rjszLh+FulARMBYpHI8Zkrzht8gR2frD3hOQPOthoUPzYUJ25rOnrTEJzJ5n3W5yVOGN2LE +pUSiQDgOIvnRnhByfmPg0Qufva4sUChB5T/XEWfZ0TGgmVU9DIoA/NVRuCyUr/9KtH8xhhZMYWR9 +FSjD1In6X56PB8z3HYwfgcrd8vCeY3jF4Zc079lnoh1yCac0WdDH+aE3FtH4QN/1mfyXEff65I3f +3rCdwERbgjA1dQDLKes9YtjCE/l7RRIdrhQyMyjfw3Xss9gMZv4GLAv/y0NpbuLF3fbhzAp00Pcf +bKFnuDnaONez+81ugXJlOeXxVBe9glc32eeYL0deSYcrOVSc1ehQ67Btk8+T5iqAqwatBjUMIFao +zuiMYOYP+8CZN7cMgxNdnNNPuQD7NfD0Us7kDzgho9SPpIAUpOHh1cGAkcimLT1sWf5Ry++DOm04 +mfHB+SZkGWgEGY4uHSMa6elyCFextuVeO7/AaUi5fW1ZV8S6yb9UcW+qvwBOU6zj0zVlkmYRAP8Y +WyOT3j+wSfp3a7dG7fR0QcbIP/Ov51bA66QKhaslxhVUJndWEkcYwjaysCCLPA8+JLhXN0HPCkzs +gCWq/OOW4+HjwBIGM7hSAEDoYDLX4QFsVJqymMrV4yNSq9StVb2/ik5WDLhZqhUVt8nyPxB+G6wO +ABbIgNmyycKeIadvlgCSCJ91TFAbBoP7F72eiGOllvUsmF+uopcZo2L4OnuDhuBYvOrOkJ/NayEp +nfVDZN3QbjTEjdrwu4vDHI2cjpg/PhYqwUK9yUn9BRD5dML/O0fbNMd5q2fr2eLnqb8piROwlgXj +cOunWI4srZAdTnaJuxLB9FrXVlcDDsUofY+jmP0zJMpbVWgi22XpzbbCqZsOfnAEvEWWeU5eTX37 +h34nUjbSrLQwAvspaJ75QsjFePAhmDahuOGBQdbKRPwkjzQG/6ENSOad3ZT3UTBOL2oMuDgCXal+ +XvT0MwMgRJV713pSSGBXeKT3xxvDNk4iZoghpqPSB9yAyAAW4hPPsOiYtwZrReAmubVpXlWzbWhv +LqdL65yOHJaVbAzNL+wdN3RRRMxT/6NYVQSL2iVi8OFHoK0rzkLe5L/wrjwb5eTPdzlq5O0zU3Y/ +nmrfxViKLcS9ECeIT4H06r561Tgq2Lktve4Wh5JDKgpntAeMqXqnQX/nSAoW4QcEaI0j1QwyIiNb +zhR5DLi7Kv+Fp0gQjvu9dK2Kkisrfe5Kv2uPeakDNT87xCLwAYnIFizmq3jsc4ePkDv9bzGD7Cf9 +aRualkTWnQBFKMOFgF19DqheK0PQfGlQ0roSz+sIP0r/wQLdaY9pN5c7mxPNjjcpJ4akdvj4uLcd +X4SiJ3cnxUyQ1FulszCsX66gY0+TKlH79AOMNrJFFPde4nC6uA96rvYWMpFlRl9W9cofKCFJymmn +2zo7oS63DcCwH5MtzoWnnNeG2ipRI5dzyDr37YO1cgmVkBzOaVrSUSJXA9PKKHGRKnUvr0Y2MJfu +qeS6iTx+fJMsYaocPd9GzsUdONAhhJJAPXmoWFLe1CfAS8MMGkP1+Yiw/3X22sYws0aa4krRhUI2 +doyIfq04QCFfFTUetBgv4egh+TSLR8uKnB4GFvERTpeJ5PI6twvWAUFy4/9cIrA79ItI3dUh2/nb +8zuXkRBXepOZhjkPt7jA+WDb04wNte1koc64dwMW4xekY/k0tMDOW7CODsNV8ly+FBl+L+e1k+a8 +HCcG+aMDU8iJsL7kcONM/SY0SSamCin/qUcEUfhrs2w35LS20QrzvZyTicrM4JncvsvvWXQVbqmS +ULBihYbRsSItHSFnanua+drX/KFJJuaaR+xYV6wTAhhumHa6d9ak8L7Vu5894KuxndYigVKnI0r+ +8bYGdyNO0SL4wDzgT+fd/ku/pupDUoLg3qM5VrHSdS21C/mpkJa3W/0nR/Qqi1grpCz7FQ2A2f5T +IeQg3NO86xnJXtZZtPO6dNJWFaDyjScqsb46Ip8gyWBEpCg9hUs9i3TI94OsPIqpCs8TIMcBWTLm +jmf2M9f8BE5rG0qaOhgvbSCZmpaN/oz1TYBqZ9iAWqKv2szbibuHHMIxHG371WTUpkCDhpCvsJVA +o8/L58S3Wevu6+dPwqLJzkRhs79B4/b+xml6o+f89udPIhhVZslTwmeEgW9EnS4Fdzm6bXDjfpF7 +784LGfgQvSY+TLLRe1NfpUcVYXnHohndDGBuOLZAFCE3M1FOyRdPAa1IbutDaEOSCcbdG5RE0dro +wbxzbFPJdXYk4LySA36pTCgPgrwYJdCW6RJTSUz5UMmsRvzBVPaYEPTENDA4N7wLhXIUv7fvzpj3 +jrYoxKluHPQczikMcHh4DYIyoNHCQ1YDMM74xMIgJrsKdNjXKZQS7UkT0EcLPY05PIRIY4S8FLVg +Vs924i9Xy/qzoNEj4y8/4af7YPubLJNCGVEKvNwnWjpEGTIBQgNu4J+Dokvn/KSbx6lFlv5sXSsr +ueQR/2NAP8Kh+vLoDaYCG+9AuRqZPupnLq4KCCaGKe3ovQGbkiiOXSgyQCo8SLSlzdNkx3Q4mTTt +WpNYgaUaDtzFAiY+y0q2mZzRUXrqHiVD6N4O2Zxw+w9Bb60nEX4D/AeZLH+VK7LCi2gFWTmJ66fd +KdrRklxI02FppA3X4xKdT01bs0Sp8vfv0Ps+tVqGAgFMmnY0nLyX2Ivayg+JNJ1vyFhuqMRuwlgK +0zoqw3Qgms7YRsUsqLyncTOcxKAopC2s+e3oLjIh+2fdUtOs0PxO2mBxkdNIEQUwRAG3O1/qhhgm +8ZFd1CTvlkRVUDghCzEWKvLnwnUvwKkywAJzwjaWKmTe1fYJdj+WxXozHB1bWpeZVIshbMR1GKyi +olDezS9W0TWEIqK+KCvikiJBWEiQWfs7JCfT+CbnbxdVrX53X3r1b+Lj01Ih/yj1AiG5c91OZvAu +W8maRmTjdCOoCUUoMG3BYLP4YBEiDeL3tAQLRe4oO+UnHHIe/qE1zfqoFnptoEtzg960cq/IUSyM +5bR9Vz6Jp/R3RqwQ9/HeAsB4Gl6pfFHznOtfI8MzzpEBQEs1CtOgYnBuwXXCAI5SkR3nhQPp3iTn +dzf41+t5cYmiZhyRM0jWdQffzutteauz7K6fjKjsIfpknTfef+/Q1V+3JCHBLD1lRgGHquJ27B8c +uA4168nWVYw68lPlHwWDp4PHHeQhOI9M4RCxTHvvaPmWSwqdVBtRwXQttHb+B86Jrk/do/s5FXMU +aWdEz15Bm9cICWl+f9PowfgIStMrXtcrT6mJvsDM9gQ5I7nUxkJX+c8wy51MkEAWrJgPEwSzwvMQ +4DvAm6vd3WSIBxv3F6VyVQ/2qLtkLoFryma0j2ZVQh+92FLgqAn+tnnWZy6rLA8VqWC5TJ9xC+6b +y1srLOlRmf0qjxiktRlbva5WCQ7Efd/bJrK5pTeNGN7Tbj4xgAHitcbRKN6t1e0r9+aoa5P+QE/n +QkfblNb6g9xunqbb/9AJA1XeFo75UNcosofR4+MWHWvUJUxkUVPi5ZQBvup1YXyhZlMVIE+/3xYX +mjYyq2rZS3amshX+CxRX0zATxh693GDffPuEyXNAz3bwyeqMaxijd+GSkty8hpKGZ8H+JJzmx2D+ +pBPuPmerEoYJOlmYmLTxVgHF8+7Tl+hjMx9SeYRc+QqRj2PVL3GtEqQVfIC2fFpzhQbh76orWd/q +NK4TA1eZBFITjcCNU1Y3jYu75Vjt0CoT1Dwpp8AX7zDU6q+oDij2h5SXfKzZK7/FIhrYYT2hQrXP +io8oZt7ohd3zJkdPUGm31aS4eoC4BW5NhQ1p6IXz92YSY2syiJV6iwvXPR3rCWjK8I0cwx51rZZX +AMHwojzAQ8j8h1ThRHQsBgGIc8AHbcwfRaJjNeSn/Gn8+UN1t4KdyR0qWp2QquyKmyF2OVVMR5e4 +AfyFCKhT5rc5qNPCkH+HrHHiT7GyTfFi35kJIHDDC81ly5HNpEauLtoqgiPwK5cxQX7a5OgwJ07h +9B1kV7bY1md020T01beI8EzTst7nwq0iCvzFEc1vqDagGmQo2AFmGnGc6GeqRRMUPwyIGQxRAi8s +bd0JLAcxDMVlXD+oP70b0NWpfZhJwOSybabN74/8AqVy/XjyhnYanbWtLRjFDj7c9qxN/6J/qA/W +QZnWFI+WP6BdHfVLoq7o0E2SfpMugYwLdcgx/+GyYj+whtoCCuZ215IJtIjavaLBScUzcopTuQbD +FpECny2VY2u3vfuLnKGv+4ilg0UT0qBXxRDte3hJ2vu0yilEuK3gudEVz9vg/Qyx7ERNBXt+l9Qc ++aosfsWNVisJNywHbDGhztcaAhceFvN8JGkQVhTXD3e+5AEIOCU114tQEAk7RFLw2Smd9+6OTl3I +6XxD+2sQQcY15QJVxVBVHQ9W7TPZUwmyrsYFGkCCTrEVBqH6RvpDEMPVPVKeEQ4pAQmFFyfQJCi1 +FiynmoY8rXA/yv2+fwJt5K0gKcfQqCc//3aXFJOh0AKdbdJTe1DHlx1Zjlbg1gvm7JknwKvUfKU9 +7/Rt4gDR1vUkJPRW8b1LV9oqa6S+GUl0cJooIaPROyXWLk+SH7aXgeakPrDJYLLyE8U331rYc/QQ +jmkpITxHb3cep2kG9UTfbLWLps2kRkG98naqfAPB2XJpyDaK7wHeHyK8RF7UW5DnBWrnWAIYqCf5 +MFV+VlrI9ISw4ws7aQ7KcrbnugvlrL+7A985Oh3VpgYCgOr6lBqLvpwG76pXhytuBARkHtYhyhBh +StPQ0JJlQd/FBsFNVz2XwVkTMJjS3guEK6Gnmu5UXGxlRziwtfPM5mDSRTQ1vTjFySKptkUe0/rR +dhSPdtmwzJrfn5MDanNTFBglaUBHsVW5MUBSWB9DzRjqQ329yNltlPBmIIIJc7cxjvW4B80MBKVK +kWzeUhpIXROqTj/qRO2IWnU+BF/mPGlsiA4Oim10x0+o9iSLGmk9+7nZVA4fC38FQpXkKU6ZLAMN +PcNwjm+sbNPHzixbpbdMuk63FyhUxeI+dR3CHtsdvQ9QHoQwrGpfBLQ7uuvQKwSrBcZb8luA4seI +c0mXA+HtRnG+yuaxPLAeSLuCRIwWzUmS7hAZRdfHUcIcOcnZu0FkCOP3fyekux/gXr1qon/1Vk4v +TeDY59y/yaE0hGzm4bjEhdC9+yXtc2yFR1dXEH9o1+f/wmG69dxlJG0Gf6HNz0m252ctfcmh56x+ +aGVUycciKTcb3txGnM5G+i5w2xmrIu10QFPK1+yfNRHuBFxjWhudXHOzCPyghEWICSyGQK/Qzq3n +IjT+8VK8AMtembvSjRkE588WZbPZtgHU3Rrg0+OGbbBPZpEBBvKhaA0YM4W1nl2xkIHMGbHIZ9nH +goRT/lto9vWdzHvCJxVPQR1vnEmamdhRqX02cuoBsHjWrorRLrNi6LKCT5qXCtlIHzpcjn6R4+Vn +QTdKpRqrAEoqyGXd9I4n+ep03QhafxAuc/VgQTe1lJ60tuj9+iuvW8JvAhhIit0bNgAduU4CuI6R +GYVYq73KJMHqAaihY7pqJQLJvZJ5kEVxZNNQp+vo2a+Xadf/5xuqD2jIpXihqTv8WzWgiVTAkb5p +UN/4BqXCOGLSIViCxQGO/6PeXFZblPLivUXD5Q6PVJxS0XnGHBEZ7sP3XEgubDCZ/xg2c5N45xZ5 +7hreNxU9/2OKpzM/Ct1e3qGX0c9U7r0PFRpCltEBNVp6U6fU+Acd60TvM33JYSkiA7ETmEfzuv3M +w7qJaR9ShjYg82SbCIVEHrvCB0safskMPKgFh74HnGkMFkuxiGH7CmHJoHvAWR1U6qM4CSiYTV2y +Iwb3IiNHaLqMgRZ/jvnadOrWTiYAHghWpnEq3o+YUZoRqVYGzda6QKyFjzBFCfcCxltFltUwz7zQ +Bc9tIlT+mjJfQg4iJcgxLhd18EYAX4Q14XfZsjOrBM1RegfYcb4ty0Fp4nd+C2MbQlBhHouPWS4V +QdDhkt73ReyORrLLEPoXRI3SwKDI0/sgB+hHlpAxYsTBsI1AUKQ2r4e1dgMOSwVbsPJVy3XpJlan +TJwZxeY7r/RenLecD92R7pjlSahCVPQTlVE9ljXpjDOp9TJeuP5fySOYgvfUlTPSrO82u2FX5hOt +xpA5PvRAoS1AdiRrs8rN/oGFuLfb4qcZwVTVpEBGGnU+Jm9tE8J98493mtjZ+jHx2a2+TZ9W/O3J +K5GEkDl2JAJdREjQEn3mzE3UFzwKVjDJ2FkrgXKyNXy/oWyoAYW0YJbZT9c5JJIMyn1iAyHM4g4R +iV1qWMquvzrDrsFCQwcyllOkF4XE+xlpeCQRU9+OMbuYiPJXnfUHnj1ON91k+ADczKfhUf56SWIp +3vDehwtMB2ecwDy24t0GGDcwEqb7pzp13Q+t/3xhZqTePGXTzUcFMi1vawhbD1h3pVGNRBtKdLw9 +BBeOkss/M0jg0giO+XfAEjhN8dMBT3ap2RNmjAoHki+CQVEH10wHQLwbQdnWwuBrtoJlN/DK+yIL +KNqh+etZARYpD1OxRsWb76oBvQ+wChhsQ/wu+HZ1KLer6CvRTNND4kCPVK+v3Ov9Jr8FkpNX7ApS +WFAC/7xH4GA+i33RTeZfcsLCRaPnhlZl1S1Mj0szn4EzWmeFsOphtXWLnljULvjK/7BXfBCK1w3U +ixP071vkim1d8xBwQvLdep2FVmrnUWEuZuZkdIjJHHj7M/E0nS4KYhwvYHC2CMKbVOi2gwIalc1C +xfIuD9jIBZNCCvkL0GmWs/30e4KziewNZj/FoaqdXwth3jNL2BYihtX9pd2AVr7DDutyHPOTURQ5 +HWe93YKqIFf4BVGwMO8XjA5neAcBwqgPmJFvDX57mQY4kazQ2kn1xU/0uMsNTvwFqyXcfJBTdgGf +vEO81YUvGftOHHDfNMR4AHfObPcsj2VGcD8HizLwXnSZbShP2QIOyVpHX3qyIpVUrq23jax91riV +L1U80ef8ka40M8aonxVKuuvk/eTpUWfj7pEMOPt47/0p7HOt7OZfNEhfxqknDSmMAaI4vwjfA1Kg +r6vWEbHtmgC9xIOvZ7sE/R/WYoGdTsDPyCOBN9CWJY09rDXobS415cal33sBpOVJbysnYNtq8oho +Dq4WbxbHW6r7aAWdpgrQJP9wd95i71c3VICyw6B8yX2nmqQyrq5UTEl0gHLGVvcj1SlTC+FTVmiQ +dvcWLpbvk5YDg1mTbA5B0zyJLdH/uhn2SUfPlV1CJBS2yEexn2fqNfS+q2WKaKj4A3kvwxIklIuX +GsTRYI8pcyJpHR769Y6iw/6c+P68sJci+cViXqVGR/Kx1Dzaogm8qOZuW2AlmhdC1/933Grvmm6I +Wn82ln2zclo1UYWBbmWIXwBHKYoRrQHDsPYhxbSYxb1GgiDlUb1fHv19WlcVpSeCPq9b3DlkAToX +fFB2AnJXXnCYIEv4m2AOo1XIr1aNdP3X+9SvJ5V+6dTm3XsCKjRcWi8Gp6cHWwMObDckLnAtXFq8 +Y+pWB0C+h28J5+3285WD4hd5V+AMoawm8nMVSuBO9IlU9L7OzRTrkKffngd+RVtzbCn01pcDQjV/ +jqDJxjUKWTa88c0zLl6exCNAp3IjLb+qy57TB1At6LNtqeB5tjtPKKO81bZZUBdPrkMXCb/YyVh8 +d3ElS46ppABzxORoKX3JzXCBBYULA8JtXG79HJxtsPOyec2wWatPsVrUpj8+Wx6UWlkd+3etxPkz +nEjsLDzkMYrKEBTpi09s8IR2Rnorj6smXe3AD3t1mZgAmKhu7mk2kHNwcHL1ygJVuy2FnQGoJCOT +LnaP79VG67gz7XTGj6SWSNpxkhKzF6qwlWScBo11LLXtDaTm8daAHv0jL36Pjd2n8kN+IcRD8zup +l5d1hijYOOY49LnyRmN+UJIYZMGRhYIE7B77X87q3ZoxcXmt3p7fE3zfIoFj5g2U028CYmgLRH6S +Pk3R7ND75rtvqcbotg4I8qkdofM0kYmsQlh9upgXMfnNjBfJxrQtqe5UAi5VsuzNb/B1Px3FHaYm +txy0XDwwXf/hjRETZ1kHvG5DuyhO/Gg1vzC30qTjeH+ytAYYImmXYls7c/UJKO7+6XiBi12+h8PP +yypYQeziXX0lLIJNZ576o2Xt5aQoFT97EF2X0Cvk0AqEWzv8DveeRJ9zqDn1qEZDVweJ7/vg4+bx +8c3CXn/xeku19VeMGnJikJTaR/KC4jrxRKJ1L7bnxVcT+ownsBHs4vhvupz6rPqFZNFCF18Ql/nv +YwApK2bzPtSWKle79O1YiSNdUg9JPL10QEkDSO8AiG4UETxq9i9fCu/apOnK76qGgvFEWVDHL9v9 +qLdD9AG+H4S4uE8hVB7GWNAMAJ7Do7V3m7n+w6d7hbpZzsRe2dx2dIm2ZKjO76lqC9zjygw30iZu +lwcI9K6H9VaxKM0mBTUF9eDXrskkrVhki3uSgm9n6I5jrQocESW3TgIZHesZ0tj6Hu8jtCqGFHUf +vlCINN9ep9ecXOZ4JZQcrvcM6rhkC627+X67J7xlqnLayvm8uzYjdJYX8bsoWM7CCfr6oyXPKdkn +/CTa0iU6AIzW0rxCRMGzrepEfN5L+1CmVj+3CGNo0sK0Ld7rVqf7dBJdDSnhZeN/uZNHHhkGNXoV +5Sxm8L9qOVrhFbx8w7iw1EJ4b4fIQUqUxf5gdllzORhhTw/u/bu1yAOhQ2OR6LcRZUW9AffJxmcG +E835TBRYobc1ufeF/+h3iA3yAu8sY5vJZ17q62Ev+pQhKWv951LjKA0qB7VJ8Jq3HSKQ3kPeSg5l +fyPA3XJFeIkS+9iFnxqsYNIdfQcbqvqmLlDecKY2kEufdFXFSpSZZ4tlFak5bQQPHPlNlAPIe5Nm +r4uPZrcERQcu2MT3po/SVPCoEDZmELy0x8jTvXDNqUH/GWg/y6YhyakcJqXrskZopGyYk9s2/YcQ +ZOeslIhGMFwzuBgpq/NaJAhNSjRQfyjtTsxNzZ7HjBRS9j0whSo1Iy77TNYEeIsnKCezYhIMGWa2 +vXZzb8HHwZ66Xh5pjUHZvJ31e6bdW5U+499TWELsyAbzOLBNZMrKQRmGUZNSDpHTW2SBc3VwH2vB +rxIe5iHTN0Hq3rgK9GVEAQPZMkP94RClNSrtPbg5yqggsIz30JRBrvKh66w5H7fCyfrvKv8ugVY0 +CP9IbWnjVCe1uhfiKAd8eOmqB9j/Xr9xT26BU6/hYSTV/O8rqxRutbY+oYppxs962ek6lhnZc/MN +ohVWq2jBtDuLsjrN51rw6nkv0hRkEMOAS2hfMSMsnzyMX3uDLE6d/V0ENCII09NjuZMR2SbYvMMq +6uppxrQyrATkWab1OE0kieCaXO+Lykvb4etxQd4Nn36JJHLqyJGBV+ONWMFMmcECadLq0v2VTM5O +q2hmST3a2P5XsKM1clKh/ol4X7uR6J+XM4Z62FN3NZ03nqaIO3b0gNfXVvKRHcRGgO/RwelK03+1 +st9p/c/PMSJxHnF+OWaxFLqM63pX5BbkTxi8RezhzSDdqbWUx+ZqTHZXgq9JceLZ+2RWW3MvO30H +bLCk/5MYQ6S91ymNJndxvSw/VccpGKyixy5LMQcmeOgHZQHmd5TwvOTkNYNHeoxmKZ5uh7vBu1KT +Llu4eIYFRwx8ZYXCbvvMX3DhZq9Yuhkt+QPEdPgbj3hgYIZisWjzcWTDWsrev/le4wCxFjhrtUHi +sXTbaKVgy59q5Hz1r7mkwo1dAMu3g1K069CcyZZmRF5+q5q45ywKAxJw5ccEfFThJ9oCZtZXM0fm +XGVMxTGXxekS368+Do/g1h61egwhcWmvDCtbd4p5mhW9atGMOvLdh/RLYRZhLh4dLeZJTY3YFVPm +/GcXYjR8UdTjvacFeU/PcBYNkN7+UwzhC0rmOPrsB70P5s7MC3MuABC86xkmaWmo6fiCYT1SDzbk +gPetI7UNWlFJzQmPNMsTFLUsjxMc5v+TIZoLwwSvezTiAJh6lDs9qYBkYdPJd/YUh5fiJ3n3vdLm +wFWZbzvKOBiIQvfKvOUtbYhBMGrmUnzTZQ1jxOn+SYqdzvBoDsZMKjjWok63ZiujdH0m/bvLGyBH +4AlsyfYgRJIaWVjLi+0bHUkJ6IZJJms03d/K4mRGUepmD4a0M5163GnmTBzpcpjGob7szX7YTtvP +PRI72plk2yNEhzxeopz1anjv12MS/EZeKqnhCK4VwKeNF5kNnWkQnwWtajv2TYgjCn3NwUfdOpb1 +iRahmWpqcin0rz427g6lDrcjT5yHqjfIasmv4k5hUV9ceU8398yLuEt/qx67lL0E+SEEHBZtfBTp +iw6j55lntnsjoiTgcUN2oPb9yQ4JrjY5S99aJ/fcMF4VKt2YQmKsmzg/P5VtyJAlmpxWebheDUO4 +aoaOmlZ6D97EkG8m4+NQfPCLhupnJg2vHHgSj3BZwOwFhWpB47vmpxFfQcDntvITMbfwKWK0Fg8E +2smeR6uH69bYtzAr/vMFFmjmqD1fhgCiBJab080XmcueFF4k88HFdpgKf+0l4d2CbDcdf1VtlIVI +q3p2Sm1DQocE6xtmaWgcFtRVGY0Gtt2a7BdxCExC3ahpAzJOE3w87Pz/4gzRE+OC+uZI/HpngGJS +476Rd64JP8AhBJDjG8msfcqfbIrMGg7Musr9eWBdSLlUdDChlhfJ9OxQ0bEUIA6rD7Q/t1SaN4yx +5QpolCkzo/6KwGqkzXBFUWYe7N4tS9uRsmkB4tXRrCTryXTPbA8TrERK3aN3geJhtJZSkZiXsEEO +Iy4ExNi0+dVCa9xuWyzkuXtrVTfau779AfWQP5+0V04AhDyBnmnDpvK83agA786mhmRGgkgi64sE +ndEisfmA4rjrWp+cLswJiA4SN/1sApYuoiDqsMaBOKMm6+lAcdrkbnkFoxMHGlVMCd+LgLhoIOEb +EfJoZDB6uRJNucwVPIBxrdtm6B8adfSCHs8BDYlQYCHF2OsWlOQLJrh66wBxAFP6u9sQkfyH1Z1Y +jJdUNLR2O++weLwHjsf/FmXhdqJNaQG1hysDSvhwx3RvXXqQUgZIQ7FYBOm878vUTVHsrX1kGPHF +eFTIIpAfpzA6lFxQqTtryn9GIAZQVUCMAd5F1PxdqLPHJ0AYe1G6lqdHSazPMHMlnll5yqlJ7dTO +LXRPF0BYmWzXYV11P00R3VFgxUaferfMNKRT64uSutG9JkFP2jEBhSfwI1BbDW5zCXaoSQLc+UyO +e/tkYFWaWSYXndUl6oEWGW+8+0UItU4/7oslAN258gTb+hosCxXu9LeBN7d7wzrSn+e0HrPK9NlC +fxrG716QOqeU1x3e9H72FQ35kXfliSzuDgmsGqsaxo5r+X5R1+RqukIVfEvSEE1sy6YWDcR0XdRd +nYwcTMHDFvynm+WIssKCQmW/MecFYQk+iVFzfC6cOXHhAHEG37Do4lrrbbu388zXSsERDnsUzGcZ +wrofZqsRo23jSA61W1UsZ2MMiqpw2ugmZTJUqLh+N8FMcbcchTmTvm28wFtoc9aZGULofOcfKPcF +c4E8qY5WhWL93+qWE63o5KTibsj+EN5/CgZZxY/Mh9RKKDEC7RVMvPRw03PhJ6hpIoQCqokqnSHY +eRpHUwnsIradag6Honc58mZ8NopKnuwcsuM6vIePykb6YAqDtq4KNK2AutVgACZqJ6JCROgEig/S +RAC9WrEnlX8Tr0CrimD2Hoiv1HAp84Rs7oWa7aztIUmUktwY27gPnTWvh+bIGq7iWX2iGpQF7OHn +6o5u/ZgsJWuCkpcg9Yr1nigWKUWJgC4su5u4NGw8LNJm0cc8f9xURtChOAi/TGQ54ZG94jrdDV3C +/X1DmJDFLKTUyVUpgycq6nl0vxCzFKJbMXDrLvl5Yu5w2q/ZSg4DaFH29yW7lJW22wf+6Z3YRAwI +7lA1K7+px1Ov7rJ7haxiOUm1aeRYWgfFv5LuCqhkBAXnhkM72/KhAfX45RQBuPgEJdzFOxhHZ1SU +Qjlpa3rs9OSc5BHIUM+jUNvWrHKatkzLoK8tTH7aaYG04+mQf9m58BNxMh+eebwizR1bN6C4HN/4 +AxHEbKKGFoimmXabUTnKmzf9GEHmER4VE3zQSx1WKAxr8jt/WWjwDT1GMR+NQfR35zFRDxGYBNjq +4zHddevC0qGl80nBG488S+/bHfrv7KACeMzz7qcs3+f0a5/jBOPEzNxhdnuHy9Mk42XcSuiKTkU6 +HrHF5qr9kbdVnp+bFDnYvFsYYG7+p4dVNHLqca6QG2sc9QYlxkN/PbY3vADkBPOSmp7GfFW46LeN +IOzCqslbsFGOp0l3/sJVWBu+Bnqy1DEeNW6iGmEHQr4jex/3AI3rR0TUzkZat+XwzwFWxLZ0Q+dv +upwd+/jtVi8jzt3wxLePekUtvnLvfp4oLbHTe0l+RfofdWfnY/rRDzx7/aSnp3jvWx+GJlkjeWn/ +5qccmBWLRUGBybJkA63qD3XL1KF/l08niwaWbnQ4U4VQahBkGRWAHguorwmpY4kFP/XuOS0EG3O3 +XuCGGoFmYCFLoDPpLhqK0AEVEj9wWMc9kDNbTND892qIEyQbZ4kN56E87nF8BZKQFlBZR4tlfjAj +GA0dIT2xiDwx60J7ZL/TSLtznL1x1uQW7e9jYJRHc40sVBGqb8jLpBJm79PhYLKeUN+XbImO6VsW +Y/ZuMDAdsGAsNUralOTWIUW7VNjwMDv7LapFVWTUNVcjWLbCaZdmLtdw0BL04Cu6qVxixdqdsJLE +cqNvmg5k9emGV0/D3wBSffNMqZC8V4pWiHoHDuYDCWCrkgwAmn5oHzktI3bE3Tcchf2GbcwEVmwo +W254aIfJtQuuFeCJsb6flfOrP8c4AeeO1ckohvI+4fo3skqB7Lif/dX6KfAun9n+9HS4lI/U9nLX +9GKgO1jJ8o3l8pZSUeZEslMgyS1qsEaYAUqVUbjYS3eGj13NRaFuwYWCSgYGDTf4NHkix4odt8yy +DsCMtDhJp8ZsCn2K7O3cs3NTVLyZiic54MrK4eCOUfL+y5LY136cYyw3Moz5vXxFgIsSBa4Jr1gu +scp9DPlYZAaPoy6A1vG6t2lF+vTLaDxvwnq095Wd6NS7mgPPq5zYqOJUvpiZ8olRvdNG2W1lU7q2 +WJmQyh7ad4GP9CTkyoOBQB7DXdaamYRtwPCTB0+WSScEKg/nBktRmqmA37FEh0x3SNPPMgDKmpWx +W4T0w7S15/6G3QhGaXgGnVozIpg0snt/IQKHTAfP7fbgequV7n/zNp/9qy9HMbjjkRskf/14oMqN +UxpHmftB0cQ5lzL5sMTTwkHmZCyXcpKR4JQw89NmiZvPjne00sxZHcaybj8mQ+7bT7cjPYoO8FdE +3qOgbGXJTf8cLzUWVM3jhU/HcD99plPCzJ1m9izoCgWLnDkaSbdUXA1Gepv24QxYTB61Q6A4ruFb +dBBzRWEDPqbSf1PCLho/N+JcQ/BcE7Ykd8XcPNoRPIgsG/rB4NymnwX/gfQf5nWzFP1+nqQgdk4A +cUloUEyRNJFuJ2RlfKbUu9w68aXD2i2WvcpGrwPSy29zJI1WHdCU4u8zpKVvS/MaLcG13jM6ZrAi +zz/Ar3BZNeYu/QC11gJYeRxNZmQ+zK0lF15SRLY+Cltr5IVjaGdD308qadYvMj5YITVtiLMznjjI +0SI7UbjBPkuIIOuNZVj7MHWsRP5dTfwJq5uldNPbFMNTBXsDMn4xt/d/Sf69fAVQqnqqqZm4DDJs +AdF5OW6a7tAyMLsDbHIke0bWwfq8oX6QhVF9h62IIh/eshlY4si553LXQK0/1fKQN2yqNQ1ytSXN +ZULqZl/Qt8BuStSI8pZM0v4+Klf07DZUUdZ1AfzEuycRewg69G+mDIxp9libnLftT5KN6RNTRY2T +MAKtCqGj5MpImyvQrBubzNkfTX+CJqiKkM+nt0xT3LpLgBLOxtydIE9C2kkK5rWpZHTmPowthrzx +/0T7nJY/XZjvbwtKc/KMMsD6+vtrD7ag0rb3IlgvT5zl8KHoy6F7EE6ZR1YFfjJ8y+9W0xihvrws +hHIZromNhHpgzDmI7Rmzd37y9vWJeIv6nPYkjBaFN9aabk1TtIl/LeUE0d/Cb+I7QJB3+jbdTKVL +ca/UEe9gg2nvS8tLuE6fRKaKdRYa8BdhJt5PI9sUbCBMTezikwS8+uAIddtzeQXAVtfEV0FEYUMp +8O+4dDRHPH26Lj1CjnHcQhewGGqrvhRUzvEYlJTSif+5IWqLouzXTVx4N94mfZRkqVE/sjlYX4UO +PgiM1zuXsm5nT8mUprPj/yzV5SnBnkMQvAMeburjuI3T128lUad2pzs3T8bO11Z2o4HXi7MuAno0 +Xin2e0O9XqM8svbWLs271tGOkY8PE19nK/jFM2n9fGAvhC2OlyRzJjtRV4ZCzODX8Q2hUkoRarLH +UlftnqP7OtZ1I2d0PP9hRSt3wYbv8Ihl64dSpKrzXAN/gZljCyLxZ+mGqKbfyM+enH1XfgTssDh8 +jdgQJ76g5KMhXiVCV4uXk1EKsB6SfUoadcqrgUYnIXnVigsQpmLA1/ZPy3IerfKv4lHGebbmRRUW +in5m+/caBhHxy/R1bvW/Ot9HLsSDThRjM/Y4c8OWo0CMITFbYwQUVwJPhMCB6WZ7JE8+9GzXVtlm +YyJU4JrcA7KWj06f/1Tq2FvjbuhQCS2ODJoK8+yFBnhysSm7hLNSZo4THQOa37FJhdrn90zi3OXs +u8VcU6UySnFnYTXMX34Nl+tMELbPDzAD5sBDUlXTzvyMNUHvl7RNBPQ1Q7qaj9cKbBea2E3XqQr5 +PwNukOoDF1GThjz9gh6Z06YtXECytHOInb9za2ijOM+ZeR0IG3sHXZeYn/2IZmgr4/6EBx7j4z42 +1znV6k99aLfRjaYnbxf/GE4BMbmSIpWDO7yunMf2zlJN/Bw/S0KfL0GN1TxxtQYL/MPcEex0TY0l +XjJZDrTiIc/PGDRBUdn2LqVtXjtMJw1goxoH2sJ8ff0WaImI72GJot3AGwRMp3TL/puIMUp7BgPM +XwhsjjmVYAqe6HbSOWz1XOrULnQHC9KzzyGbLsRWkzFPg4BhcZuAKCDgowQ8XBSDZRW5NjJJj+QE +Kb7u8ULS67VT1ctXCzrvRO9l5SMy0LjBMu4zzD6gCvQzPjCtEiUxgfl3HBJPaOq26MU3IE21mHYr +aR8aYHmLV58oc7r+FW+QNVC2k48+TOIzd/Pgk6Bv/MCBHr+pJTmCyh2Gar8mYKWpa3H17Qr6Q/SX +GP+t8l7TBk3EsFzyxAnEJsarO87Yz2APUBGduBiE8mM+zzr40tELXoGIq4RlkDbobx1yVajKj8O4 +m2n/LpF7EqbhQFz+fR0KBapF1O8Bm62Z/KK7uaoJZUDqWeYRQakUte5UxbIfvXFzaHxfGPLAUhlj ++WRQgMrkBmR4hrirIRYJDTC83OEWoWL5DQclzd2jAG28MQ5i9FXXS0WwJM3cH37LnQZV+Ya9j0Vy +JAkc0d805ekSVIvWNwe+KoOSY24etYYyz/jyo+V8Tv4AE5ejNiyxcZakKz2q52g+5OTLU/4CAvTy +Xaf5xZhASQA4QuqiTVbYFH4Aabh1CMmodDwH1XMUtOgtToxyR1gvLZQSOnV/lZzBTUKkwhGxE8D0 +7rkxY6yB3A+LFeIigh3oVq6c6vmaPf+1W7k7eOBr5RrWOgiSzawle2pCppk5YVgSrzMKaQSI5lkg +GvtZ9HNQKWa3QR3EUvQysJRtaZJBgW9HPDFKHxM2qE01c/uKSE7P2UCGVIV6I2KasuqeqrUpfuY/ +k3UScRyEOojjk6VbZbjTlvNQtugx09TRQUKafEo8fYMgCVqOLZkTi0C2Cgvwp1csqlxHQacK5Ca/ +PUCTTpEWNSoaLvuhlIbgeK0ZeLdAITYkItw74qi5pQ5Lfl1PKHxjFknrZr9V/KwJ/LH2GO1uiKV4 +v6kag7LwxM0FihhTvr901l7H9kCZKBLlgS5bViIObgISB+czgd6rJOissy95VY9mO5vpyqOXbhBj +EGcQxbmVHG7ey40qCc5AkTlfWItKHfaiW1zhOS+OHid10AgGYelPu6B2+uj+TrcYlCvnLV2wipGC +P3zmAGDRyAf6BqNlnhIupXtAdTTkL62ztABSiNZVlqb7ULXLc9hY/STeDU1+nNYyupTEKU9tI8Oo +CRDVuVP8+mvS885JrFwGylV+6v5IKDuZAOI6HtOJzZbn8cNvvrnsLl65V1ZP229vSJQ2Fz2LCWxl +z8vjqbxtNC47Tz9MCbg977tCn/pXLxD7I0XqFKvqU4sOP7gtAiqXvMt4OQ9nmvnbwHjPl0xx1t86 +rBpUPtMqehRPGXn1/4nIX/27TuJkjHnL+Snlk06p5EuESl0K/tzhO1Yg19uj3rAanIVvROgJWxcl +lVvaedADznDqcFo1Gv9VIjB+DNdlOcQeGtHFbMYwN3J7iOFaOCoCYMVFQGtzWv381IUP7TRKbgw9 +eezrfIiZa4MlKnRSnsxOwX2Tux4Ru/NvTLF/JhOMwMpkXZwTdY2+N6b7ntixSJwZtaPEEySSS5Rv +PGquM6gRcp5FXS56K/j4+OCAHWYs+4vRlgknwWjMKRrL1WZMomqWbgk3mWISROl01FaX6h5rCdyq +STV3T4I7lUAf/izZ99ZxWVCR81cTXtnL6gNsTJ4i3eHOATqbPDtOn2UFj+W3ZK99tpxQ+hf0C9V9 +YHU2YHh4rPEl0wI4Q6BiOu5oEZxg8bVJDEByYf9pxUkc4GSuVnRZYxBZ1wYOKfPDGz5d2UPvb+P6 +dd/fcwv3sadVRCUOfluxmedz9bIdYiFfnKytYYtwZEBBg/GPBQ2TnRquztRh2FDzy35I6r3na5os +hrfY5LadF1nVZG4m7QIEtZuS2mN0xdwl+3NR39SlRB3CsAuxm7DbUUG+9dpEV+Lg6VHDs2+i1VxB +tyvLo/Lexeji18KBBuemafRHIFLAUfGAQ6RwReZIzgH+7Ux67IkIqF4LMVthagAOr9OP3CT4MODM +HiAhVImLAB2wW6sxDGZ4ymFcTFG3apAaOZ88fluACL8I8ZmOSZgC8N7byDHEPKUYiNTC7ByNPmhb +Sm28ESmALuiq2jLR8YgCSO1bypmyu9TIJek1PZv8+TtjIkJgw8HLs86k1FlZn2t08Gc2aP/kpQcl +v1WZZS4S4j6pJGVcnrbdfpdo3I+UyUScPJM3X3hF6MvNwF7wLi2sk0dytgiUzgNEu6abeqGC2NFt +ycqmTK4sKlThJ9QdmFkINh7evDDHCc31vr8vH56HnQy4YjQFJfnsgaaIKGifmNTHq/nRpNzeNN7A +Od4cN3BQ2fUQcMxnJrjF9y/BYidmndb1EI1EvHzdhnJ5kOJPFAKpfZdpK4Ep+GTcKyMhtjo7rVyw +3ks0ha5KxMAKwSu49Fm0oHA4ToivNaQq7UfVu+sTmgCWjVOXaTY2T5P7x+wAOWxa1b9FebVTFMJ3 +eoaO6cdk2VW2DA6MnvSOdxstik6wMx3cWCqOErxVNP3dm2G8i700Sa0GIbFXnBjQF5velelSQo06 ++t4M6Pil70KxKJ7fmqWGyQDMuavnTGp2sgYUhcRrWEQTi9wSYlILXvtOqPI/EGxn7QQnhAI0C/aJ +SVHE1JEHrNWVL0p7QBK+HbLX2HGLxk1R5twoHCUD6zrOpzmymLiHbUgR+te2WT/e3EOQ1MYM7BO1 +HhSrnjUuvghN6Y9EVeY4399QleeZT09EYwmHXJeOvNr9q1lAq64m942DXLzyES27gLpt0vBrnL08 +YXgqxU9hMBkVWaQvjC0nrZLQ8adFoPyol+wJQaixKQv62/sM5uaeY0OXU7dciWm62AdT/WylrFd3 +yfTZDN31/xRc0me8DRXo6Wh8rrKiS74demwpK5/3tTY6te9lTXkIAwtm8IQht+N3GtZVsnm+R6NI +IZUeYjvc/q5TF9ylH66UK1SIpeKsfNKcUnEmBN94hrsiuzvgMBX4PA3XRCZRjFHFlyDGm+kOxTwP +zEaCXvYIK6SrRsfeXpgmzdQf6mI/vR6VYxaEOwe//wb/u8EgHJ4ilzKfkocotTaWDYqwWNBjUYo9 +qSdmQBTXsOIH6/1NmdnrRxd30s4rBupfoXCcZ5Gmu8K2eDmL2U0xFhhXUq+ieCh7TQipBdhivQTx +HvksoqgkIkCSOmKIrb7JZf0rw1tQ+GTW3505YLfJnTPGWtV3clqgb+Ehyw1UA29wXXRLsGgkN83T +QqVitcTisJb1Nsqf6JSzKA6vuXfaHrdpoSdU38PWkXV6uDgnV1kzKBdob1aPg7OXMIPlzrxUlEqd +he0wOSzPpK9ZllQpx/keQrBLbPB2OwmIXS/OLQmvnAS2+AwERSB3S8wYp+mzZrowT/0bKnxwZe0I +8TXkxUMtgrS87qD8bNsEi5KEIuMvfWJUSX9OsP0Zi3OsMjO7aeUGKXxMkxrc7rYei0lz1VvKZFLT +PUhs3oREgjVN1/HUa8+s9ve9DOcb8FjwalhB30RzrGaFc/KEk4TdueBptyJukGUo9Sqcm3Dcb5II +nLt8aMiLnLw2wnagrldULJz5utwUJcHetnllVbrI6Tm8i1WQfgDl4nOG2a7zve6t5rxIf5p1DH0R +upqR3WwMn9ztmh7msiu+LgjfDLeo4GMcXS9rZxF6frqchW7UlaLqcM5BDyK/ycdY+PQIpn2ys7Fk +YR0APLy+McRREswECOpNDOj7xManHKeZFNRMTmZJ2h/5eZyKIwzrzZ+LHbE2LR0jxr1NqwnFLEAx +ks2pBiOLwmrCuPrT6GdlhMlKA/JsektDpkOwc4teJab4fFSt99aPaoSA0hx1+Hdc06CifEpnIDeN +S1FzOFtwIarALDMKFuCOthc2WGgcAxhNcEAIbQXO5dFAVyWfby9uw/zYGhUZL5Utf5L1cjfvNDGG +t5sgxzJvv05z3E+z7poxXEGHIc0194hXk0wfTjBlYZhm8sjWGV9EGzgq9gyKUN2gRqtIn5s8K9zR ++9vYuTqjN5B+5xASfPGgLZVMxfxk5XFaZ7ayIV2EA2eNIqCXiP/bniDCcHdDgnuwJEJlS0vV250J +TCEuIJ8dA5mPEE1anqUfwLlBESV/OPEN1sprjKtKMWsqF4BJX0QnMLu3HqgEkULMbg1A67gj03xv +IXQr0PV1DoweN+GxQnBOOhp+HICbSw0h/URL15l2YCeHnjEouJ17K3FmkfP0vwENqUnCd9+gIhIi +HIhLemn44SBRJJ/rCBCMCBH8fc5LG67wxB74vTX4x4hI/CI3B3FSygUw524o7imj82GN4Re4DBEu +e9qslSIbnzRlUKFQREbI2KdGr/muPJPGJmnEn7HtvV27WVMDzba2YW7eY0+7lKdC1tx1fpJDfNzc +vQT1pOcbpvNhBhXjg3uyi2nOKPS4D8QdtGIoLFU0Jym86rfbz7kzWPxgi1YmGGQpyg5sHLUKZqvZ +mMSFdIlUWJ3AOba1kaLHqWmJaVPCUpXiKuCs61VIn+oPH5bsjZriKJSw9sxxcIZUBXZCbPwINSrv +EPts2pHNzv12lC9DIvN9pezskY6kF3l2C41usVMhXvkQx2Ybuo/CKEurCnrv2mCU7ByAwmn072Kk +YobQmJDSGvJB3QIUJm9zD2fU7BzZpTUfaWcD3eYxJctyPkqx6gOVp3GJ66tvBJ02opZ61g9WPCrB +1rbXdLPDCAzRxcxltDECmCMjox70kcPIY/ER5ZyPlhRLg1cUv1IsivhLc60WWbeiuDhFDSr5RpaA +hnt4utUC15ZET15/J49S8BkINdj/PKFFs+E6eBWT2NT+fYGaaJbE82cns/NpmwUlFk0uUK7fNe+h +gAjpo1i8BKdB+ORfAe9Yop9zz1gtMgiCcN/FOUXDPTCMFZ2ahsA5wUwvxVFx5gBN+50U45rpYeUV +5phQZsPKCjup6fufxvkWShvY7GldHZWBic2N77FbLiRXoudC6/YqoB1bsWQfuwx2FR8mrApk8U+J +pvpRljb5GI4MqScqBcs8/ZTpYuwgRYgzTs/GriqIFwWPYpMNwBfFktCwlArkDNaWQU7tIEdreuVu +wktSWw/xy+nQ2pbfVTHROPjxvK85fiq2GPLZU14xJdTO2XJBuXLoE8JSIYJx9QcOL5V5fh7AcCHf +tIFVcMbxkEGVzpC7lw0ov2NkpQeaxkkeGcJgetjA5j3qbtOlTcDagXsooRqQ8wSjeCD1Dpdtm+Ea +iUFAycz52odLdpQoLHQ50lwbVCE6nhlMgsl5EmVS4FNsdH6KFZ/46kZFbaKRLz1W87O73Rj0QfI6 +04AHVksRNZ6saumfYQtBSSin2RZwXKaLTa6VFXumsHedQJemP1hJ9WObiANaaJrFNvE79kaAS9EV +MXX8MLGaDQdD4+ttjPRL1955/O/qHiKHCIl+tJ40nzYt+phoeeEBKRCAY2GkeVkVO+PfTfEGUyk7 +tda+JY9EyS18+mthLHC7FBzjAYJOtDBG+Z4pQO0DSPbDTSdh7E2SmwgsCJa6kEasgzwQ6o6BbxVg +vJFe0zPNFSul5bzUDY72qgeFuvZ+RoPlMCrhhiNtT6Qj90rsFDBHbSTN7u7nECbb6yOQ1J8zzZM1 +x8+y0TW4HGiALkzw1tXQJ+W+EBd50YNxj3pSLHCKkjhHUMy61VfYtJKv3ygrJO7axT5Saoy/2S5H +ryZIKWaw8KjRxnXXBRqAAfKf9Tmq9kY+sbSLBPcqNU7Er/5/luG4kgz6rH6Qv/6P19Clc4XuQkNj +VsX2yDLGOkKBWAm4PijF1xqrAsuC76rpmWuQHvCgOT8Vz0XoNek+SWTSLZZGK5iD8CiFLQbIiFuF +DyAWwtLQJNzbc/RHFGUwYOl03HmUV7BozHL9MjN+Qbc8wf3K2RHDdWb1NWDdrsfQa607k4bZEgEa +AbZg5kHktJSDPerAZXvAmUQIFyNfJewd77VrL4n8MVXaVBLaExcqj5le9P6brSLtYtTVTRqrvllc +ivfWJAyaNpC0Sj04TnQzdsWxaH2n32+lWX4ICsH/eP0megaW5NSb2rBmgKDwzDJfjh8Vfbs1RM6g +qfz4BbZct8mv3oCX29g5OHqrx/P2e42AhTfEpM6Bj04/JOCgCy3+jmaHmLYm11Mu6UIHrjdR5Mel +mi3Sx/FWM5fkXc5ZxR55sOOKLm4imxZ5qd9vS35x9hHkfk7jM3u0rbbTbts7ZX99EVTyvUnDBEW5 +sm4J9rTv+Bfya/43Ytntoqvc+rkz52O/UFvCvrhfeRyuDP3o6vEuL2bE1Sg3CNIil/6hsV2I7zGG +qZxwXqvKKe3y+FMdxhW4jNxs6o2IF/dw47ff4fvU7XxAaI0Goi2jG9eSD9MjPgBJNIiwXrJW97XT +w7EWdbMmOFrMee1NEQMbuFsh3O5tTTbzl5eZfL++d4fVKZFBN5jKgT0oYNh3Jm7YCZW9iKzQbT4j +ZGkvC8f6rWKKNRljzuVo/dHcTPS1epuXMSUiyRrBxuohF4ve+OUKAEsxo20Na2s7clW5LK2ofTaW +3LFl6hzfD5+57PYdF9xzRQFS89bIlyIHm8LBNKUP7jh6FgUJWjWGEMnZlYDAnbqqZOY+TRpqEAK1 +3gx3/Cw0wdJYKojSmW2I3A2CQUI7Nrd0yS6LrlsWLV+yLTu5hH152xb3+PlLLeRaXC4/ygPNw7LJ +9sUrRd7ET0tbHEwbFC6FD4UN/EoeDpRWqVhpGyLZ9foOkWVy6c1IE1XL9BjBsEnWLki/DXft/ypC +E3Hu/57Jwnxjxer7i0lVTmsfz05cmvelKIu4ecsuFHYLTXo6drUQ7WJ8KkmL5ViSeG86SnmsSzbd +PXUdMAP1P2XCAP5U6X5ptuSE5k4Friezk5XDN/Q1vqUrppbeA5nAFv/ocOVQ/JFnSkSCy9kKZMWD +zisIq1t0Y8T2dLaypdWZd0/wIVus72ky7EIQN3Zj6/wgJprAGq9m9g5jR7QAUpDJbTiFFOtZIouC +qJIL+IrpXcbokbBqYpOfvHcFF/iepmGAiURybgs0IUEiTUlvOpDVCVQ95BIq+mOCwas2jFBCPSse +gF02YZeh9GZz7ZAL1XHMIAOoIEdbCow/LOYVdQD7nsBEKTXKkczsoYR4ilUNZAprjfbKXUECPrI3 +dni3T+W38rNYZrIL7rVcgZqgOl+K1zbfCXt1L9lV3Gz+idi92ImrpPrT2ne2BZEvXOzRuiI0Y/Wo +N/F/rSbZbdSPBBmNXruxdF7xLVok6eZm7y3kXmCg5PKCRIVCEGcM/dY4bMRhqGH2JsW6Qc4u+0u9 +s5Dy/Y/l7+4wqvsM0TeH8gsj09HjNIxHyUlGqMkjhhMBUGSGKv0j0NRQPpMhZ5mChalA6pftfSoa +BvY5Tzdt9AXiKVUShPD0Jineju2bFtPwwi6+D5uOyqaqsZBS3zTWo6BVWW8BaGajfnYi24bxmlch +D1PP9E1On6RXei2TvaBMC7TRBIu1b+e65vFL3BCHwFwg2t7eMd/r/B/yxpC6hC+EtXcRRsdGN6M3 +akVbtnR1wzEdDBWoJaIjSsjJlnWQ/IdnU9nJhGCKynLoaFejq3wDYfLA24yguwoe6tGBjRJa//Bz +ieQQ/Y7gynRJCfGExygE2K/2V6IVNAg43LkG1+sLtDGxlLRGDZy7PcPsSDNu0IJJgtYFKFr9M00i +oL9jRLWh08fGQi+nHLkP2hLGh0+s8m2Eecxp3wlRCWOZ+cj6hhJZ6DELvWO7MaEqbUDvf5yhsUn0 +WQFQoG3Cr7fAbLkgRn/YU/DZYxQFNEQV5uCeIuRjFG9MaiL+SUS+/LibL2tFtaV8tKFpM6It0zyJ +s5jHog1lq9hRaPk9p+vLb8wmAIq4/VeIXd/A/wyOfOKsoJCDTiZJcDTp13C6MbFF7OBwWCIjd89b +9qcF0s+wkj5juJV/H6lmB3DdD0KHTXhOv0ksCppC5zLjlxc4EfY5Nvnl7cWzyP5SvUO3CKpvSPdb +alAKnm6gBEthG49LqPZk9d6mMF7jFUscLtgQ4g0VxZUAERrwUkvoCwMC52jP4CSy1m7dlh3OGvIV +pZSM4DnXQ38NkfkzrYyVH84z0OrJi6ms9yyrL8dz3PhtEPJVXaIdluFENjnxOGsXq133zTh9UFLO +REthMVUDb0MZgjTi9yPq8j2PFQ/P6JzN3LCObjDG54PHW9utLdDSH3nwR/MLBxWKiJz3Y2y+toT5 +ncnNCQZ4yx3P2ipy2KHRcTc1nFzVanCDVX+MCQlfjxndArDYhv6d+U82O/xWJg0eyAltHLRWiep0 +fZQNzF1WO+exNFpq0Of2Rj2MQyXywHTED9VTm7uFONuzolfXrjA5rwhAjdQHVoSUKR2rT4fh3RaQ +EMoKGDNdbgkh8qpCWT0bdQjzhk9toDy97WLa9ycU4MKI+9Hczy6LUp+txS3SFjk+XjvStoNOv36n +kEjL6rNCuKomYX28KUj7tlp1qUGIwHvTQVFdMrLhn46mDFnXYwdbv/ofcMo/o/jpxrzwDh7DfDk6 +hseze5JpTHP/Bo2HuTmczI2jnviJFJ61U7hd+kjBoz5y1T9jieurPJ+hzu5A0mQqTy3DINeGFHYX +m6UzSSIrcbTYu1p5x9JkRUciZ4vM/gIjx2fZkxqOQaRRrlEL2QJBWLdtnyAy+Pi7/99mOPMdr1VP +c9uMH1c/GY7wV9I5mYeTYLpo8mv1zodgR0CInLWbWeldLf7MVpiu12EQR8IJqzigjx3hh6b+M/N7 +iz0KMukM+x+0BEirwwqxZl9MIthd+P+wun0q+gl/5ZPsRkRbZdPQ1qu1jOf8TueeF8GzEZ2E1FPH +RanyvtrJad21eEY0m/nlA2Q3bvQ1GkROkc+4YTdqJtobyHWIn4TTuqD49hSeY4IOYmKlKKl0KZUU +8dc+neWoWbzoI/RFHXDrt+8bZOg5OdoV+deDKCrzFPaVN9wUk1K9IWVOOMMVGmj3NnypRjuTbnsl +8I83EKLAZ40nVDASg6b+BGp0oBie9d4RpNIU0q9E/aEryABDhQFp3qV43WxH/ajiEIx9Me5VKEqe +h1NIrspUphHIRxiYzSzQOa5aq1iCgftzUvLvFc1TBTKQgn5LglkKTiVzm5V4nugfby0QZblHcUhS +6zztMJJomcmUunDUZlm0kold2BRH2jClNkAZLsCLf3nZ2j+a/HElHCcjxTXT+RURwA3W30OWinHC +FNLlAZCmeUl6ZN2SlJm5MqIUBUktWKysEZfc9c8vwuCGxbAXTZVzH58HAMKLF+yJE+SGNcEHk+TM +l2mXDB/MlFRYzEKyGWa1ykBrEcypZZzrNl/dhUHG5lVBIIdldx7ntpUf0ZCfC1S7aojSKqCl67Yg +tXS/0+1McXJ8DleoRho2f3B3B+f6+7x2HqKt4NcPGxfPJT3PmvkPco3SCByNrePr/Vf9EGxCOiC9 +xHYvubrhl6UYK9byaV42yEz+LsrTHkRpe+AjxCKeunkRFCM5SaSmgZtEJpFi4O5uKzrIEDo+PM7A +YoygGr6lEFxvyQcNY2wmuJt78vrlADFiOvX7GNm/bbn4a/aeOSzg86AVz99uffmKGxIkVkBwbi/6 +5FuzQ1W8+pDEHOWBf7NHMJYDXfUVSC/nNQGBj09pohlBAvD5Nx56U6Z7W39mFc4X5DcYuW58IlxW +v8S30NCwOPYuU48+TK5zpztorUdDKVFN2mEuCtjIXEOQEug8YexJUq87w1eXPrjaodieZRbdNexH +++bf47Vd7bmM31m5AHmKvrGIu5jpP5pPd6Y+e/xTT2Kx0mvY1j7LrvYtCbbJs9D1IJgFe4wzqMw8 +d4DVOOQWkWHYxC2Y4kGONfr9WqP+e01B7MHJJXiAMjiIc6uYK+DmoEJJlkjInSIPYZ9AY8oaj2Ei +sl4P2y6cQhInuQ842Jqz1yCwQJoat1zXPbSchzo1wMyD1/totzP2aLXta2Yq+Age/9Eg9SF7uxqb +Z0V8efuxN7az1accma7m+HZQYlaQvn0cYuaM+2DXXIAWA713ZAjr80BM/YO8BzP7GQCi2+f3fmn8 +SUF/pJtujCbcSjhff/4IT0Vjb+xvc5z188v+NnKgmNn6EdArs3m/EhzFQ93FZCeXTYqq1jdLiNy0 +U8IE6yxJqo9NSYgNx3NLr9ozvIjdBHSVVt+qiRy7j4HTLiX7qJNn4ZYPcNejujFtw2/5Q3PrqfoT +a8Ybgdnb4sbbvJ9baRRQASIN2AgsBIAG9cs9WHsjDIBKeHCulxWb0J7lSMP/L9RriAR6qkUjQYRb +O4eUQ0RwoFMr1oBYoFzMzKdeL61DTB0fFcoJVOf7/X0KVgLgATT6B2jlsXR7Sf8PsQgiAoduPNGM +F2IrIg/ul3dmT+GHqk4bMeexwraViW5llNGUAb3CbV3GT7pfVNK6HSBfKpY8a4MwcAbr1dnd7O9R +h+ymMsxiVqLzQhyICXE2zvyK0f5khENlzWhi4dxdbQ0KGTqCRR+OkSzm4bhHPH0bJ12h18TQnfxs +iVumlVxufMjU5DZZf2HQMLsY1mLjqOwE4BEPHX2lQ3y5LA7DqUn3xyuEQv0qDYhkJ7Yma0I0pdbJ +42BDcdoxAYeE6zlJ1WQ+9F4tdGKYskPcyM3Jn8Sts2BPebzT/7TDK9qtxfGtQ8aZsmT4D1Yy3KmZ +paMSnRTnYaUomSRs4zRpvgUoSCJO8AkIrL1+NyiSxvDdpEeNSef5gjupeCZZ2N7AjEdKAgqQJTxW +5bzrXMWZKLmhL7iAOzRbZLuDNwgke0fwIJJCRkqXtVqYUFiHcRc1SNqsKC66D6MB2NFiSzAK6Y/o +TBdOOVeLBWUJVSu7Ri3gRy9xsBj3nOBzI6AlAck2q29JC0VjD0QDW3hIRlDQpYef/4g4lWS/wd7x +Hlx+iSmH5fjBjdmKDKG3xw8lqMr98+KeY+aR7DPEENDmMzgaPsCarjGzo+uoo6pYrEW9SwmoP0M/ +U3S6LFNPzIi4BF89sZHKzN2BC6T5XeMkwsB5dWEpHLr19YIAYykB0p9ib88NrshkT5fEzO9K0jdc +QTd/4xZ/mT91W1QssGJ/wf1HhyzNcw5+zunjiNHbcAlEKfX4WPTPO0pWaiam9bbvfwVBOBksg3v5 +52pYc5R4vbfiAxSF8pBEsZa2U8CiOMZ2/n18qKaCyVxYS9C50Ymw+Vo7ggSfoPokKO6ouq4Ty35e +qixLNWC43efUZWqTwrjrmVOsROiYZWvylZs2/qQIgJg0fFE049vaBzAG0RPZ+b132+8JQKAkcvko +EpkIbdgr4l6DO/ZiZeBES1dXKPEqbVz9HZANrdYDT0Y9rnmbXYPlLUU4nVkNj5aFlgkIHVRQJyIe +m1dMMBF+Z1RKBs2deoQkya/+K536AFZIko4U8qMdLBZHQtwxSYBRcH8eqBwJffIqHOneZWQyOS6p +dP+dIXAQPPgltfYAPPxf+++cg4xxLd2oInKi9hbhIfQQ3N6hNDo+l7fvCKTq19NHZa0B1OTwMGvi +Odt8qGnQTS4w8zOCDq8QReXv8E7iTjr0E7Ga0u5DQrsACKmkbsPQlh5St4wXYv+qhrBwrPiyj33F +Qs+ENXjisXgPPIq7jDdJhAthhQ26Yi+ieNbCYNt3d5fx/SUKbLYb+BXVbYZQmvr/nlwsQ3/56z/H +jbjAm9GM+A5QfypCGhkOgSzSEigEOVDv2gf297pRSegmwCOg64yU3jG0SHJwrqbB+FN2GtT60kSf +RRP2vswtx4Yb1RiSWvqqXb4lNatvxH6ddMiOdsrORAedPXreF79zzEEqP2T9WfqCX5yNrUzHfilD +5ZyzQs4BcajNnvr5xJBqJz4vbiBUYSBvweBKIzp8l72xRgkQzBc3L/bF6JiE5xo20YGMzOO58JTr +Ie1h0Jt1FDTpZnRogLAoI9DplDhDjc0/ZO7S+X5xVs3DNecvHcPRzIQnUYMA5wSM2xpslSWj5ReO +GzDrkcpn6a47X82M4DnXtXle7D19pkDTqdoBGrd32y/xtGIdyyvoYSGUeVdQMp7U149IqOnhJT4i +i/KFLeg3zUzrbr+fKp2wjIYpL54WIOD+HCT0gb1VMZ5Vq7JzHaDn5S80itLt+3fB8PY/rVjKpzYD +rDMFO2840Oq83RmvrBloLemui8duchzhIZBs5JDEZgn6K4SB8Kg6s7lxo631pmdG8N3B1yBLqE2T +6Sk5heOIk6IQzN14wLm8PslOHtFXkDKDgDjQjCebDOjhKHjTDc2lx+gZvtMbCMgeJ/aFDyNjTOBV +jdIZR2WMDhyJyU9b2GaV8kSVsrlv9kPhn+dJpiJuNlIk4Xx+U/+UAikkOgQQXchEwLJq8Iu2bn1j +TwwCKcW+4YEEPOnKqCqXLVN0bROkWeuX6vUn65O7u440Q5Lwj5cBiaqYD5sLC5aKfteBHyWo6iYi +tAgetYvBAbHHBV5kxSSKwcqd+5mUPHk5FkvL5qUf/70/y867aEHCZRkJk5nVTaBUhWtVNz2kRb5s +PdxexFot639NnRQjcX+0T9y4uYtzOQ1GlTISHP9bAY/C/TEq58FDcPT15Ncm7UwHI1CaAX6lCfkm +npgoLHmTtYJEpulfScxi8eHO9G4H1On1H0SCxBHSwLPM9GfEc7xjg4duc8XM+cJI/aoZMe2Bq8V8 +27j+QG809Co3JnEor+nM0CZfvD0EJbqnCj6PoHfbaHh+iBrY+tnNTEXhTVwDHpZOLYeij5d/lCE/ +4PIDx9ohxdXdIDbGq09As4kxwfzB3C1L+HpTYZNQVIR4GbJ8Q5QanyXnP4ncwwslSK/OivCAEZWt +0G2VGuQgNTLRZotncRz+Wohne4wWyZOjZ2SOzGrHXFyRUQB+UOM+50SK9Ov+NkMNr3yQxvjvVHqJ +OvRp1ECrgPWJAi/JyQJQivxwAuZabP+tbIdtuV18DmrEiL1om4BohPQC/UoP4tLwbcUI43Gf2qBr +oWTHbouMdM0PrQ0wAWL/Fukk87EX+duIFfVW4G+vCzfHdQi6ljH1+QFYcoNA/etmjTQ9e+mCdgN6 +fw9rSew+Acb5qu1Sah3ZbXVgOUSClSkz7Lr2yFfP7tTQtV6p+qqMN135IsKLm1Niz2UfSVY8Ayzk +1uRGNX5yDzzAtB/d+wtZgLdp37z2/uioFI24hVlDmXsbxKRzkcrAn924l2ViXDCwuE4XeQ4tP3z3 +BdeDGDOucbI0fq5x1aJmY2viy7n9PFh3M+B0McmSjeYBAg5VSkqv+wz1fBaJYcZ/J7lHDRStuBP+ +fo1wX/czHioS8GCbnlwee2A7vEHxFL31tekq48q6teS62naGElmGbp+0J6kzTu2WEECw0DR+txwE +h1qfV5P7cWMjCsJ8bRZqOq2D7FYQOdn36P5HjKLvD8nHGnYKxdi/YGDGvqMFWeHnfggAoA8JcwZI +W4+jgHJvsZ1t0Nt1uqvm5Sy1xsj2J/Ve8zL6T51Q2Vg5ht5WXJMtRG+A4BBoObK3P5TvUR/xstto +NUo9frju0jCiZqeU0iUkUCG7ogh+M0ZX9Q1RPKbSk63cUh9Of6Hl8Rs31eOx3qnfA1h4nZV1Ur4k +9NDXFQmuThbx4+sfN+Z7cKPfVWWU5mPr2D7Eg03xQIVI1SFuoxJCV9GjyfDQ70wlzOqgt34MwviD +shZlxuG49n0ymo9J2hXkjaNG9hECl+0Jn8nkfANuAFhX44R4g9v3TjIzf+rvlIFvr2shf9u9IjrG +FrFFwlhk8YKBIGeHmqmML1TfstUnIiXIS8zSO585Rh+33uuXeopF0ese6GIVGLb43z+InEsFM4PD +Qb+XW+44kDj1xjlT/iV1durxNcl4DSTI3pQ8JRib0Dl1eRnXy6LT7vtM3MW4JLdSFsHoUURNIYFJ +qKrHLinY7ZzsYTidxdDMiNXg8m7qM3VT+3qfGKOtsma/05GbFpLkPLAq+vVxn/YqbQJvOhnw8vSO +J8ksw49CjyFuQ6vpEG72C9FqLAbXhqWsdtFGW/qBkRMH9UzhPIDO+Jxu7hGggakhpD6A6z8kIYeW +HZbB0+8VNtZ6et4xo5jGHuzlIbotzbET4VANBolTdKOmSoh/MmS1Yl64LlTaDsN2cVVq4R9pJP0j +bU3lq4+4/LyMfUyLEZS/SPo0lkd/Y08R8RveIOuSTqsyvX2xbCbRJHpFSWIgd2t7BsAWAnc5EFfV +SuiqnPywIcwl5G7RpNl+AlyRKMwuy5wOvZiHVlmeNR2YAsl8W+Mxf5yiQIuPtvhCQlJ3EAEQ2w+1 +GiwQLsGULmTdewzv3xnQE3sONScucxoFkyrXSEMAJkwoqbpFbkg/bEg5L4gvvzwHDlM2ZDP8XtK6 +1Tx9hQcoAGaiP5QwGk6IQ9khF5kvbkIz+1a/kJE2rjOL2Mi5VNzgyShxvKVBzo9WJ2qU/n9rZcha +V2laraeVa0yNR3H6r9kba4MIswCt4paAS4oWFuNxyIjD5+NdkJf2S5imx56vIQ2uIjkIIyZMSDE9 +XRgB7/OXPvOUnpoI7kP7Q2BmX9mciO6zN3XHYtXd+zfIxYJvrau33ADrV/xGELeDXdQu1yg7jSG3 +0RUHCBe4LPS5ERs+9eg/0aetAg2JTCF2+eqDbluKi/FUMUxTr4QhItx+QUdHpEwyzMvUycHIWQmX +FsNvF8dF2gqfO8u5CYlUZzFw8g1/8ZutX1tXwM27F/OQVN2HSMVUUi5VSSVHr1k4jzWzj527414f +0IcPXwESJFKK6tZxYAQqkQn+j5Ro9UvSGDhR0SR0mLnDdTHyL2IfRI03aQXe6N+gcCxRqY+Za7rG +7693Khy1iq/SgvyY/QzJP8/d/c4X5yIutlDdluH2/c+JKarLz/+O+vOruZ6aGePUvuNJb+pXve2/ +t+XcM/8N9uzCrlsT5w++BtkemB6Q+gPTfQIvnRrm+02Q6ud8n4JMLtAO4toLajyHEDtZrAoTystB +OTkxuUfkPId+l7SJspfH8VaYjCXfWKRPfO6SgDAMXUA7pDFD3KqaJRiJzG9uQPaWlrCXvC9WuWgg +13zX5MVCd5E9xfbNyrYVBOOu3YbEshg7KKGDs9UJ4WulJZi41le7kb6OT58VK4o02kIeCZAjWKht +aZi3RLpnzIPjlTjQ3vYxkKwXt7kjsLFd8SeS5OyNmM7XeM/JLm735EzYexk+fV7beW7qRK9qsAfo +xeWnn77JntaRqKwxal+I7TvNHasYz1tiwg/FMU9lS2BhQV3WiLT4Pw0GF86Nzwqpc3f1USod6A5d +JrNjG63c4lNBoHCMTwDX3fJs+Z1N2c4jkR5ju2L6OLWE7+5/rlrXHAlc9HEhsd66mKTzFkHsDOVa +btI5F3pqE8wRVExx1KDoh2H7xiswxO3kWzAxj0/o80XMgRb+jFrRqJrb5u/Mok+0J+hbavlcZrps +tzMKrUuB8dHObZcVpbAJPkyAPC8rf+/Uuej4LNFneI/eznCnKyDlhsd9v6SSpP6CIy8WtKmy0YHf +8ZF7IFTnN75LHv5zsT8yE89Fk4L6FZWSNVJGx7TKStBy62I6GwXzg0Hxu8wJvP48otRdTmtB62dk +d44yI7l4rTE7x/3uNbytAM3y0soxHIST5twC36Rz5U93/A/2BSyGrhiDObSo4u4ZYjgqxJrq0oFm +mpJvU0TNM3kw6Gw7flZfUjt6lgdvDasxRqmw0xyxhcWwiEZz71mEydcEK9svO1IyTfy3Lpeoulte +2z5arlYgmlN4DlqT3THytpwOlOndN1QnNuxPB6rHxxFU3S0VbS3E+QXgKbtX3smgC4NHPTWEFpi8 +vWoI5vE0uDGE+lb5YBQrxLOvcygSisDzmJYRqG8Wge+1vl2UKudSQZLU2y0AvFfXXHK9d51oKGIV +/85jhQav5t4aBKLjP7jDbQIjR/uPXKtHyiAdKbeSQADWc3dXa1x+86RXyiIUvmkJeE8neIuGSaAx +L7CEIU4umrJysyPHW/Bx9l3MRTf/PhAwBpvJfTx3iY2poaE68hn1t+XNdeU37qbJvsx71Lu8C0Ox +vjUYRBNVtXv/V5hYM/nW9BM3HqOyO/fuQMuHHhJhPkXL/pzN9I1UzpyOUwWAMOKp9B4MXli6860a +KPhYJMPiaZF2w93WTw6l3E01bNMREtTsh4juFSHixn6O6uEysgvaX+SJ9EpYyxbFMdZxBC3AIbnh +MqTXKc8ioobL1UpH/lMvZnS0jz92USVIXbYaeY13pfaF04nbj4xIj5O1my5H+TMSynB3iCAxOCaJ +kJ7uYKEo+6esmmb86WJzdWH/uq9T4vFp6Fi/Jl8LUqh3BmjCWxLMAADVs87FxrnPNyZV6O5mY45h +XL+1QK7pNWyYMhJqVTclCT+eh/zHVim47Q27/pacQELmckD19G6yjuHJJ9LiYBqRot9XAVPS9JW+ +zbgv5aqWd7UFHVtfKfM9voLEdewqvEq6CfE+QAJtgZE+9t4Uf9YvCsRcEp9RUO4CDh7D2hToGG4L +x5kJ0Us25eaT9Iq4t7r3Qf4gA1xGA1SHH6v1maer1zqgbvRUqumHOIazd4goHbl4D9WwvVb8rWG4 +uEm/J3+HWWdZ13aZLQRNd9H7d1MX6OVbRSUVplLNXsHzStkIzXJ0ZyRH0WKY+YBioixuRPqD8jJ0 +hGufYDmtxlrdCXlIUQhE7s9HH464W20KUFRtDRBTJOXfjZGDUzq3aUR35WhaGUjo3xxhgLTmO16k +ogSRY7oAoXRvaFl2cg0AGlR1Ov/rSYd/VbJx79IV8VirfEi0xriyPPNExJgWtDdPODeCswzkshfa +P0yQsAQeGxZVBpGF+FlEPCy7Y1vE5T6IDkBIVv5URhmU0AcZr7CkZPD2STFyW+GMwDH+5urRA0ds ++xSN+st/VHBtrZY0bTO/2Nh9W1+2d8JVCBMY/1P+c6qrjFVoiZ9uj2niIm/anoweOZ8tFsgTqCUw +2rKccQc3BkiF5VT4yrcvdK7o6+GGABt64HtIjCEg3U2Zd0NDuZPW+V0WE5jF8D2GRRimOaFk1vh3 +qImjYL7uz9FFvskZ1ZegXZdW+RTFSD1jmF9T58t7fhrVahhGPmK307TUv9FZIl3ISxjpIkxp4Qkb +dOfXt5SACJ4wbCZ/ul6KbHBZWSSKBm+ID+r5Bb1DZnXxDXhJ7IgXlo8//MLIBUrAvvi4E/DDsWZq +v2ZeN9L7MYh2rmB55/JFeVHcs/D0DNmlZV4O5XvBFzic9ms+oGYIDClo9ITkURDtqYru37oJ7s0A +fqYNNDTB1qx3ZDqilXai/EPeFcQaYDKf71O7pXH0434EgqgKDixju+VLlZBHsiL0wAGyfq4pxQWT +1fDneUcnJXrOa5k5Uu8r7l5UvlpIzZx6FIfFjjgcagDZicnaNPJG4x457qLuVp2Sdm9eK3ODfSjf +VfXN2E+6u5EKhIGGZUZ9zq6WZLb85CuZqMLl9XwUCHqFITGX8LU2VCMsSrCjsAds5l7D4PwdyZXz +4e6ozVieRf/WQc5HSEXGa1SON3FMUfed3ywB9tXMdz+qUb41QLnTRCp4+uILeUDshqIry6SKhzjU +yUKN3QIeZrIzIOYRe27OmasCW/hICjkgPn1FgT1WINFnKFktkV1xP3bupc1PehPkHBhVq4pN4hM/ +wDckqake5W8N4FOX9x/43gNh5gzKshxyRK9CchwocTOdaPmTtib25xaBFqVsi8TE9QG/PtJ54qgI +pFb44DZkC1hoA+QUZ5LYCiDxI9aAN3CxiOsx8Cf3JPWbEenKkFZL5+kZ+j9tZHKVMRRLx9RpMzlg +ZQhJQhfS2gqDt5xYSOX6bnBKrru2LlwBwFqS5H/Ar/+1wnRJrhH0DBXqtiWFKt/7pHHuUQ5HS46J +ZbFDnPlOjT88Gv5z1pyE+G8rzeC0UvA+HYnG/HBD6lr7iAUdv5I7QHKvWUSHo6X1QrfQIVfIL0vx +xDYiOo53llsKNKtvNmzZYm4I/zDEQ40G5pvopWF0EBmYFi6WxOhijOWfiXcRmm1wnaqqIZUSayFx +yImoO8eic7AJn7MEuOw4ogR4syxfDAad6Bc/7nQenpB5DiGJqnzDQVZGLTyrWov91QtqubwzJ6fv +LHqNTBC4UEKZ8J9CicfaOVR3g//A0gRDqZzeilhfrQAqaeaPFFQpKJdLI+SmvxTTSiq4PfBTJZd0 +rQ9FW6Co3giuCznARgTPjRJo0b3qR3z/hAPCFsaep8QbGdWkTajaBUXe6wRcrKxTr71U9lIVWuC+ +F7ehnu908buvqmkXL2jVSvi1YW5CyOz5BHMv/Tkn/oqwNeIxPcXx97qCGlLwrqNaekxGvdigYXPK +oaJo9Vesqhe+c1T/fb4o67dTHP3C1FRfzjQ0sVrdaN7uw2z/ddGNewIlRXq26p37PxQgjVdcYIG9 +sa6Or2fwmP+e4tInnLndOew49bUtvjjmxsNUXQYMU2tp8v+5QUJxZWa8kPnESfpepUGTOj9ZZImx +ziERetfbzTVx1jCEzMhB/f7Od+2Gb7CKdL9kZOc5s++aSEM66oK3duHK8zGC7hxVN4xRfiwk9eMF +y5e2aEFMdtvMDu49pld3RhQMJaN8Mfipq1uUFwZr9itMEwFDwTQuzl3a/qNhW8UU1Q97fx+zI8F4 +szZHGyqnZjwxyXhh2AouSqwe83lhPXuaLtHBjw/wAzwCrCRt4QxRjs+GG7OBtku01xlJQIslDtWc +CE+tWHbfClaJWJXDSQAwL2TxcWLs4kAp/h3sG/f+/nNos1C82btYnA/aXbOetbUVd8gfFEEu4bj6 +xY/QlUqn6dy/FKzHcekGb2IJXCiEJ8QFBDNpv/k82yXoAXFzujm3CbxMJOHBaWXh0dwVzMRrBJdV ++m21pkRdS2DD9xqifrYNFszQGfDnMU3rIpuiT5G2tIWDAsaRmq4RVxEpHlwmvvMznMPklKYKPFNK +cT8mEd6ttmc6FPDV40Og+9aPz/RD+AF5lzdrlamaqoPTwBjz+EJheqfW6oJBdO6/7F0nDYnkSToV +JtRVMdQnsf6tKJ6Wo2zxpeRYBVCN77ZwhW6wXZGeGaBCfGz93+ugl5iZ7tdtZ4kTU1Z1GasnbYN2 +LM3U8wjXWb9rMFFrwM2R6qBqNvKT1hbvys+WL/DJO/u4zKdAqWs47jE2vhPht/shxA0D6H8V0wd9 +whm+yWXUi2FV7IjWHwd567CVedo55xnXshKfTsM/2TCWWh6Yc2a2tEcMGx1OCZgfVXRh/hZiK+pu +4KroiL3r5vgwP15cfvRKDnkzMSs49EK2BajGD0WvLNnfdgubhtu1eySR9KnXDiFk8JJVEnXW3XlA +qoq1Xc9UKoZyW1nqPX+n7N8fMJ95hz/LL2xc+yH2Lg83OT5nBfQgL4rfMmKFgUDLpB9rdajxbtqV +dme13VPWt5di6eY0spCtGU9FW4FJIk4KwjOwRkqQITZO0FF3WgiSbud9zrQoE7PjQHh8fjuFZFRu +RzqcW2Nfm6/X+o4oW0Qz7kSroaRr2/CL77wLKgCpaIAWMrdQpymGHXevT8HQ1wc03jq4CS/J49kb +ePxxqvV5zWwjwtawxkDa2qTsEdHxVnrd7weicCPk/ozf6MQ9NRldRvkJ6aTmTcCZYYoEuhKovtbE +ZWnbEnDR8yhEOQLkYV5t3Geworv76Hr7QlXlSfIBg0zJuDOGIcQxzvXlOLKwy3qnIil60JMWZ7LA +Ww86ZeonmtvwxnRSURt4BvqvIVlzQSLmbX0/QFgRLa5VNfpMQzvoCu6uUl1qmW+zgppUAA7tq1a1 +PJxKYu8qcVa3iUJWyLaa+D6GaDxTrKyd+0mASpSutmStg6h6o95MatH+iKqtQwuuYkYwYnYoSYr/ +bCzJy+3qWfEfVtEpHAaUBI9gt/n0Td2KzSdcNIJfCDERePNgv3P3qTMj5nNPQc47E9IfgVPgwQOF +ZLE4dQXUSsiUS6Of1kcWKeg/3meiuedbTZQaXPmsuHcHWoCVyftkQOdnAnfib+emvwaMw0LsUU6l +0U3OQss7L3xCSAYf/GlgvGMecXjrreZhbFmnIECIO80ZDLrSCuNuBfcnrifdvO+5wjr/QE5MFKoi +J9AkVprSK2y42OSU9rpmYWleXJ2f8JUVIO7v/9JWtkJm1JFeq4ZnZfnHUS7ASNnBiC/WvjV0dh2L +vorA2T9ZTq9+Hwfb35Re9z+RNB3dfGw0/hBPckNNdXQgYSZSkbHKU29ZhLbJESU0HfpY5nEvfJq8 +tvdVu02El/yvQdWmQFsd/M3Jco6B5lZHT1tR+w9GdV/3brZrTVvHdGXcy+dYkrnS5LGfUfbhnj27 +TpXxMKHfuHYNlOZ/DYn/3usyv5H2mUWo8khZIjJi4EYGeA2u8AUBOAOFNKatGSlmwd1CM9BgZZDX +WeYgunE8Hat4JAsdlKrRlv9jjFB9j/+coJMgWg12MMoOvV2bGXhyXLHQkDfjCClVhCfkCxBORI8F +8EYPqJSw1fO5j0Br/z0Sjd8k8PtHRqHAGhKjOSVBk8yItwNdj4gSJE9ow1dxm8KW1uVjMflJsSjj +CVGtKm9GbMwBQbAdV0tFuYpp9oqbbHGkiwXvlMmfogmLzZUQFswz2CaZDpjkjF3CFQz86zcRtfv2 +UvDNEv0+Vr0wGXgdcNzSz3vFDEUpeTX1HEwj6bKyj34r0gHlrw438qLVlkHv/DpR0NW7h2jWr1lO +rfgqiVJZFKfIwrBhrLMlWa1K57StFS4Te+VTcZ87ODUJdKgFSh95q5P1heqFqgrENl+fhQbqS7AD +KvukyJ/K2S87fya6XBD3NJB5rnZSieREeTPTMisQpv31KPVRsHvvvpbFSQ5Lx1hcBLszbJBc27VX +PcR9b+FavYuCZRVMAg5W12UFzhFRFnHW5+u8Tm4S4anFegrJ8d7otZY5ZII+jIN+4xx7QEkZn8+e +CLWzxOv+MCwQFgqKVcF6IhQU1qP7khSMCRaUVtXZ3LQNgkMblgV1A7Qlk/M1ToN0JuldgfJ5tfa6 +df8XkhZUM7LbYl5F2ebkhLF1a/LDKBc5ILUkROF+SfyODRM4Fqy6MWz5T/VGgrb/PxYo1jaDWNIu +fw4+Ie6efS0KR2O63uK8R8Ij1lnb3lWNCkXzNiccCCyun1KtuiUbChwVRhnsRT9ZD/mxFWW+qdsu +hI2bOw2wjsgEIpcSe/taBD6fMu76suZZkx0MsjOp6L5Fngj/09PdDZAIDssoYwO3UOlQEi8dsHOk +0Ml+IWmHhbh1FtiuTa6tqWjeHfYWYqvQdAZG/Sc98w9DDUUSLtYePNZukC7HrXwp3BNP7uUkKy/q +IRz1UFP078I3gXaPx1CIN4zwEVB+LZ/Yf9Vl5O+heOUIHgxZdV1dUy4diWbH4N3DJ5g0Na+nUkl9 +qMBmz5xcRw+pcLARcGUe7B5qQrFdF7k2ghexQBAYk6Y31oKAJOxtIqPYzEANrH4UcNN4M5CNcTKT +coZuNwXsj+zxJNJYY+VgFOQZ8dQ2T0876pMKJ7FGjL3ASSjJ7jgkt6B66hqi8FKOgFEfKIg5NT6+ +u1qSJ8N9rCv3m9sxtdlban/jpnAaV24b0nCDAlQlI7gnaamMVWWT9J5hBbKk79LbqDMXAJM97y1e +0cVgRb7yVB4Sx+SSRUbj8SEYsGmS1LsPbMu645vK5y470TPzoewyl/jT/WPJK+HSHEL1f0up677D +aOVsnG30b/qoEepqoD+GxDqlWksfWX49e5QrBcy0T80I0esZgpNaGqcKlW7niqWuTk/2PSp68+ov +qPDharfbDj5+xIMSI9cP1oikggPhFrHIdsOvGXIgq8ye9R+4KFridOdMm2JOiJrcx5jBy7xKBq4W +fOBRZGg/LYt5cGpVNk8YMdIdNGwgj/Utz9oRc3mkfwspJhjuIVWDE0mBMHBWU5e/8xben7TzjJE3 +92Kv1vL5y0nvXR2kxdwW7r4Gqdrqn1OPUIM+N+yABZixKlQtEuAPS3g1ie4LySnm0sPH/aYTgfLN +sKsoxk2f3B7/3j75a+VmH4sPSVlOr19AD2XI2Zp9XZrks51uxggUf8Pr8eJuFEpA1ohxPfJyLstS +ksnn1NuU42aYSaD74uNOipsczCP/Bx08AaNbfPzRArOBETEMduMKRU3X7sq2hVfDyIPYDvsxSd4i +A6oKe542KI9FT1XuspvXL8eYAuQPqCwb9EGpQeXL3JZqWF0checRM8YJArmeTNx1y7zQb5zhLEhZ +ektYhU2l4dxtEl0CPl/6NpA9vp59/99fFsG7PYPzHID0NTQbOa+EjPJaA4D34Ag3qG4gkwPOc/Z1 +ss7z88LQJtmCUWnCPKCbLhz8H0qPeoXC9rbvdkwnWUKeU4tcSFg3sHp//WCZHsCuDuLGo8D/0G0H +22HQ4gqE7NtopUMsS3kKmiHyNIfijoHukVZB/ZSXAL2fhk+ktixEmmULd7V8SaVJo0dQ4icTe4QZ +ZEGRADrpw8ikhkzOJrmx7zEj5zG4bZcZUqRBxNADQfce6UeyHFKLQI35J/QJf4HN7afniqdSarAJ +yCVGmSA9Keu9hOFndz579RJ9j+V/nm6bKhWz8J0GzgJyQo5YDDwyELOIGHROv31VJtLo9xRH4kLj +aOysaUU18Vg1kpIhQz37Av0AHsk6OwT2MT90eyHFsbXxz02IkmSFSNjZIOoedtAXHFxIdv09lmVk +FOwhb5R1jUGUCfvtieEIy0sz4UGl7G6COz3iM88b7xyUYB5HUAZBmR/1p20B6q737pdRovi3n2Pk +kpQT9AHyvhzGAlqWqURMaViU4nGxYwodb5WFwnQfW6ofQ2gNEEEYoPpgTM2Rnvni6q2726qM+j2W +xjfUgAHUXb1rPxQ/eU8/qxgC5eC0a4N5TRSIdGF7MaYVrlMYlqMw+qp4LMM0QWY1P3JjZQwoCOj2 +1K8ui4LuevzszWDP4sihKnw/ctNvXUu+hPy9+ORkYDkZkWfvjPyo+jPf693t3r/cFK42p/a01Umm +kZcoi1OUzzbzW5MWpAqXXpOveEpJY1DPOWWkyjfQkhJ5+J/vYfePoHWT2vvBVApLRWxkpIi/MUk3 +hcHd7fnHD+sxMDPVv1eni4mPL/CnrOrnF5CWmKl5id/BDQhPrpeprn/i8b6BcjkLRHbn1VcWq33n +NOtQi6zSeH0QepkEuLM9kPLwUoOqatDloNHouSJFUN9guSG/6GHVA8w114G4BsR3ZV9ZaD+ep2ZI +LhTsbpGDabr3BKgSCy8wco+uxy4wZSCB4vh87fI9xJHm0WA6t3PmDZPM6RHPSBfv1SE4yDbfIn0w +ud+3VKlanXLZgLzHrnDlC4KTBXQ6Hx+YeeVTqAmQSfTussJDeoc6QWIfe59gzAz8dTDbuHqjA3/j +d7llwnHb8J9ge3EryTu8LeECrJ3N2GhTxHYoFc1WChLSRBq4sHXeh4+/TWwD/tL2TlnzaHBTlFTN +ZJvIrldAOqGvWOnW/BGjdAB8Nds/puzQNVgjJXYEEssTnehf3sgQvulwEsDDk8m28QYbzN4YVq0u +20REu7ifuuE5m5ftEFatXRMCichU2KvS00/puM61covQH9+pQ3bohn3KHfx93TxCQdhAyp+ubWPJ +J/6KijE7VmdMWcHIHovlkQ9ZeFXFNLCQbbwQuDaswzs+J5lND+dlaSAYisHE9USRL3XhOCpgvdpP +637JsPgL99/IeAn+HCAqReGdI68RuYlurHjnZFoShyeTUXJMuhrWxrZvApYo17r1kQrt7TSLengd +uxMLhuUgiEpZhVdZ5pFoG9GMVQ+iaeOR712/6QUUEAppP3vWyQihBInYMusIuibEIEizN3Obs+pW +FjvGGIpUHtGwEDUSQ1U8srFS08rnJo4ofjXcrfZtVQX0k71JqKxMVBCLNTJHbbHMlWv/BQ1B0DGq +ihLShp6bG+HuDtmjtU+4hLoBOUGdXJerggzKTQ7zjGL/iBqLQocVdmrZBKqCtYw5eMSdqM7EB7a9 +5XdLzIkpy2R5OMIe9kUgnN393PP7Ft4RtWBPlLUKXB7dYBZknd0mQSpOE6uVVO2ULuDNByvSVGx4 +TvvB4LCIRZwtbWp1eI7UGt6YRSiqJN9zIpw+tQsN23LPsYvC+GaTy7m07WWSUjOXPJ1Jb3jTh/Gn +qZ58IippBiRVf9E1P3YqNMHiJBXlCgHW9gIyUcRzsn6FuJKKlh4aUdsCrGqt70f+wylTtEZ37mdT +YmAcWC7vykZ/QI6/LyMIktFZeJRJBdLwJsAUGqX1n31s8xihkmEHqFTxT69xi0dTGLLmS/pyT9kV +qwDwAG/3JwNWQUjMzhX85MOE52rVeniBQm3Xf6QaGtiRaDEP6kqBfF+Oh5jNRaqlXLN/SkxZiiBT +WMB7h5eIu6mZv1Y10fdNXY9c/JvudcfiV8uKmlUwiIcpGO/SPEV84EiYpBiK2dlLTSM3ZopK7vWo +rCeI0WTb0sro2BdKvxhaSZWOyMyw9uLXmOP43w7eIHFSw5lpZbMEaWTjpK+5qL1WmEMDvDR4DPcw +PkzcM3Rk7WtloamJiCkkCHRsRnC2e0DlJtTvMsL2azl9VI99kRo5uIacbgmpHxwqLZEoQhioWXBj +y+8HdTlFA8b4aiXI8qReWm+6EsJV3mIUDYrxWuZOdCLW3DuYjJLIK9Mkggd29m+nrb7p1fF1IpIE +5OuOMemcD23sxvQ/h7DqMGpn4J4+3fA0UsINwMgCV+r+6+BBaIynV8HLlpaMipuZFdDXRIGEejuO +klC8TEpYeXfwDKTYBQMOY4h3aAgVTTypVHbKz0CbigdHYKZtIIw6Ef6zd02WYzV6WqlFChAfwnDH +CBU/l4udumN/jtH53U0qo8dOWiJOgLsuVnJrRC1hssdjz3oCsiV7csFH6AVnmrc4uXhWSL1ydlmo +QFKhyIDnIPiNCWQ8+Gar/wJ386OxfRp77yYi1VeIA4gtYLPe7VXzD0/qFwlB5Lbc/gcn4ilm2Up+ +KLpzoAH4N6Sch4fBafuJy/hr+EN7hqzA1HXtKAo424XOMe44N4tLYJqme/uIU+uGTOatB96WfW7V +IgQOi4SAJ9G138lkNx2tzEulVDMP4S4M+XLl7ZdRRA4UaEjDEaVroXzZ29IFVJzEmFEhG/0W1q/Y +gB0871+04Qjn5CsesYsFUU/inDv+aC1lPEDLgUZ9k02X0+N+ZlMfHue1odVxSTto7NMjPrq0+OdO +nglFaqj5pHUh4BN/bn6/dl5B9JLhKrJV40tsCkOTa33XSoFzN3/DvKfWQzmIgTBrnOfqlRbRKr4P +9w6nyLuDdNh7Bx2dMY/nKsWZNC1BCJKWGnbR8Khmse9N8bhjI3DciJNYEWh6QhgRZEqqOLaX+T1R +MR8tvj7tkrbqX0Buj0hZL+NJNyGAfH4QzSyALMZ7Vgt1rXsLb0paeftCuIohSESJh4/dWwQu6QvG +uL+pyYnZ4kMBOLAWd1OkbrukpaKi7y9XNLDpvdlfvumajf6YHhvVhRElavsx/gxNxuU9ZCk1qTwz +DmdHUIQLybGmFFmUFL7ndK5OOP6j/mXfrsO2HIy9d3ErO5/R8NdI1rzKZV67qkG3mI9TWemiuvHr +GxS/nNuqMxsOTWeBWVpwATyPIyXYsiyiak7KhEN5wuq6YPLULrdHvqCMywq94aQXCnKnVF4SVr5T +RCf0fR4vLDgbwxcghDdQnyfmWojDedly1V3K6fMEKjVJUrP6Dk21AtwY3/BNIN6zvkcTU+JU23iR +rjvCGMo/FvvGzjbf0n1JO+dtkhe1+E7qYcxoJhNzC3IWqAV7Xt0nU+e6+Et00m0QwKy9x9HXGBpI +HVHX6QdvSLcXuw9dicyr/iGD6eVSrCWe3pfEqExvLJrtBkcnUWCzZXOVQRdcviKoW/4qeUS/py4d +U4QGHn6EiuInp5BpSSPDywRItOI/hU9ujQCrXc8Vj3lnDBRr+tL7CWVn+Sgd0D7JZtWcvI+ZgrZV +OvoXQOWIn0vhRkUqvsR4G0LtazJATS+GSVr98LTX0r26GsW4wHkolcW9z/Llfd+BMOw8wACID7rq +forY4it5gTWsSOI72mU5e16d5aQS8wvDSVlZsF6wbzzGcNKSLD1Uiars+s5zk2XXGqCyLAWGTGnD +e7dAZHWsgHOCf/SdDfZiCajWEtukMprhK+GKNSIF9sTv/vAi1QkBVwIK/gdwbDbeHv4LQr97VPoU +yHI5BYpX5sKrFowkQMjv+WTLOLQ4SRQiPBYs3RaCjFqmsdz9VCk+esrI9fdxJkfgh1oclUS+1edS +3BWnJv8mvCMPNBbk6VRB1PFL1YRbv+MXp34E6msNEunk5ciQpfDXwPwga+KuVTEGh4SK1GbNooxI +4DZ831GbBDnZbik9b9RsX/KKK+gMWavAtOZJbZvEbhUooUJvTetiG3VXKwO9tHhORNjl++1BrxOF +uyqjiiDarSMJ78VxvSgReW5roZfZvK3AFSO5wztnoRLaNCDpD89jDenrvTMt0nH9t8VoihdyR/QD +Raln0chGR5kpaBVvO7Nabpu5RaaAovh3geh9cV+dZQfxzzY25EfzliYqGJxCvFk/53L784hUi42P +P5lUsWVZpDcqPlcDLscgWodz38eDM9so5TpmcREikSp2NVk3WQN6MbFmqg/YYmkoRzYn23ZOORBG +zR187A+hjblDz5LoHKUCMTpvVN7KUmZUHXqsyUqjlGIvPWSSX3dfY48ryNjTes6/AGTKC9DyWFiv +VwNs6qRTCaZDe8YV+KR2we51BZavRcylf3+WpyJfYOpPYHxDtYnN1STMDwgc/Pt7hlPkcAo5PhKW +MNb9VvK1RmclSQNyU26GCIoznWRvzZy2QrIhauPiRKbhtK2o9ErHkYJIbuTrmz0Rl5TGdQgFD4bT +F18R1xFB0ltol9TNZ5+EOGKvWULX4Gm/PnVOrCkztqNPtTQpGh/C8WJSPkvWNdkGdUE+1g+7GtGM +Bp7KIcvh/HvMJKX1eg9Lgvnn9ghOYSwq7sz4ZOzdy0KoIy3MGzK01V6kA2giedJAagA45ph+E782 +l3rqY3AXNiDZeOfZ/UrPSdUhMuS4mBLg3Kfv9WKY/rYN9e3MxwI2dxAyh9jR+g135ozd3xSHl2Ce +qqL3iTO1IZ/vCAEdLKftlCm+noK9Bd16fF2hQeArNM/+u0+oUafZypTvl4E8vUq1PQSrHFTsFJTG +zOc7auTQK07XXkYzE3dIPBZ5tUGsNvUUfSvLJ2QxOOwNQ+49dhxKFpE19jE1Uy2HFrB6sFoKALzP +7vpgYj4fRZdfMwmSvjaDUYUAnxz18/toSaK6DToYok/zo02y6wrYkPGo8FHIWgDFqU8yMrUQoNMH +V63t6/ACBpMmD7ynrBtCbn+ow4GbX9i05UnpOwcF95SRH65GNiOVqT5cSA0AunLJTMDBvTYy7O12 +/gZRmEPoWmQ/K+9IsbZYrIQf7dtKzk1Dji+T7/q+C0g0CSRqpLqFj4o/f1nlJihGCSJtGPvEv6Hr +1Va1O1owJgZADZb8nXJK+AUZaj3wXPY69SEzwx06+1wH8DK8WJTAByGressSlXhZlw+KFbcDGEEF +I5Y0CUjo6i4MiQI5kbjUExRBf8gi5tb3d4xQBYTun1r5V5rKqvx1yRGLp9eq4+AD2itPIT4wgfoa +UjODuFUEpyLA1bYjQ/nn4Bgb+JZ9l2EpcxH0f4IkdbKj2O82oVcaoiy1whX4sMndK//SHfI8D4f9 +B4Pe1iVZSQvyQFDJ322FEV56+zuUE+mNw9ofLl18dCVzrusKGkRSirN07ni4GE5VsiZY23cYzfi+ +vxrY9pGa8OqWw+AHSlp0or77+iU3Er92UlWRUfISJ/69GJiw4Lo4wPyrbBf0EXA889PEKGetKnob +AUOdwF4AJcyTE7JDfuIv8zlPS3ar+wQEzxfoq09+Jay40P1lcx1cLB24t8s4+KSm17+uNvTDWM/d +c04jk9L9IFtNruwzSCRVWj2PBtQ0eYP4BI21HUBr6uMfcJQG5JE4LludqygW6mijH8iFCmCSPGNu +xFlu0ruZHPNlWBJnXjB9QGmO3CUTMg0g7XrIddnwDsjPGC/qtKxurKXuH6nOIWTjBseTbVk9XK7Q +nuT29fLc+tmy1RGfzzN78s4fA1VRXyz9tlwiHD9BeOakmi2ut2mvR83JCvjuRRtpbt3/HKPucqTd +oPaxwSA51HhJ6mDKy3cv2BzodpP13AjLmfaMrz2dNnizCfsbeOOJgFcK4J3WBzXD5RmzI7JWBQXk +Sb+fjcEJetSQ6dNcRw24eFd4mvpJmCXRYPCLS58cVF43r3pW8dbnuJdLwYIaVGC8KvFHzeUg8blP +29q3VGhgFCOP3VToK9JQXir5fc21Aa+1wv/ubz7uiRq9VstfZlAtt+Oi7VLKrS+G1bOj2CYBfkf8 +u1ePAkU2K3zG2Q2XaLVvsWtq/I1G58C+N85sEuQ7MmIKDuda8lXwvq5U36fYQY9p9mnQyxSocI15 +jIPzQKlCIeEX6DI0jGKHdfmxvnT2cd/ots0430K7jFZovnGyY8HT/PEfZhVfyCScDy4IFt/2+ReK +dsioXOHLsQMCTirEBYdbL2iWbODVCbmMASsgLBi6DTG5+857fyQ6B+qj+FWTNzAVoz5yaUQhQ/Zc +JVrZ4XcYOB0+4saJVa/nqffvZlVyEnIbzpuOmMLV6daSbMWu6HGUQn53aJfLAj5Ok/3RV3pK0+IL +gHVyuLv08rG0vO1KzEr9n+IpuNmmn10Kfxep264qqQA12vZFxSZo525dl6+N4NhfwNfrOFbb4vrE +p8dyF+SnLh+CtkuvcxgcFEYipluRU7SaR5KQQBSqh7kTymmXS084zLu1r/TAXRjkb1jb6nUcTZc1 ++b0g9a9A7dNp4uUi5WoBqRsboExYqo8ifgc1Lf1yliM6yZ5/UAnReF3xf+mUYRixpAXacCVkGp8O +rNdjvj9IblpnsWlAfivyQBZJeEvjCxHMDAH0NsDIwSPANsOHH8ZZcS4dxr5Hei0pXjwQCpz00zKn +QoSSU8+rOfN9y/cdP6ID551ZRru9GbWUOSjJuG5yte61haREmzlYxfY/CotX19IDcP1/OH6QQCKL +4KKwHTurq6fG2eq7xNtZJiBAJHYgBVRPdAzTHB5oTatJHEqKoaIc5X8eZGk9RN19o66fRrPv9FTN +xjXPx2n8BKNcrwfLqRofu+0mNnP3H5qnjhzLbu5d26D5I3zu2JSPT62DMascV8w6lwlPFBEBM3R1 +4TV1haL3z+rJLzkHWjV1GPbjr+cpH6ybVFpMbTako6lS3Q6wLLJ5aGanTj1k8Ni6WjC9iaI1DJ09 +iuaeJlbY0GkcM02HwRyNwUuEvSMX5jcemfOvmjKRlA8EoYbp2k/fkWwhiZl6VAEja2p4L1r0yLRo +jMs45vEvSPGeKS60tkdpNny78ApgPDOshpQfz27E3kQ+8kiaFS6OX+m+ibZ/aYdCGJ54BkdPBt1o +280gydnCu3dFrzw7BDkvyp7e7LFkz/TNV7eY5UK/1jPBMGJJIAf2ETo6qzhd9JxSYLWIqZbehLH8 +iM1h9x8pfHdGpMd0pS3drzjDsosry5K+rkc0skjwy6dQ95WDSkA3mwwWqjSTBwfH1iVFc+6snUBv +xcTVPKvP8sUfl0twz1fH3afmDd1d5h+BcahY7tW4dBYLOdKnnfaOaRM0qBRqKcUeDC3BrTo4zGSb +pVYoIfdXfs3zzVf4eLe5n5CyCDilbOzGESX/jyjlSs/HKZsH/8JGDwfMzAng9KwfTPeUkf2EmXQB +AbXZJ5zXyYDkz4h7ro135VOQL2vFLGsG4c2X5E50QYlfFVELmg7k3JKhgS8Ha6hEu7S39+3LPQqd +BUL3sZWB+hUlEqvsBp1nw+8qNgRz3gCU1HG9u7UT1Q3KjOhB0/e9fB2BA9hz7FLBLYpb+bP8m5te +27MjfsHV1zIO4JyF0+1zNzDoqSfi6lgTFklW6N+hS6D9oaqE4tki5AEfUrwyprTmxTGnKlhKqqFu +ISpo4V81FKTknw00J+J0ZahaEd855QH9w9P3QN1qWgHhfySJON9NKGg9g28f+N2iXKOgz6ht8nr7 +iI5p/jWigiuvrbe0wQ7o8UsqWOWYa26M9lwkn7FiBQk/6pQ6Pov9wHQL5zx5+qym/NkAzSL+uY8W +/x13OLit/KQaWMm7feHPnu/55zAp4Pk7JIe1v3veRgsS4DsXJWV3BFaX1z8v93zQCtquRkkdnjPp +jU7chotTAb598Df9IhMJC9dzfn3yHpdfgrMxHUyxH0kN3UVhRg8mBvdmtIdTKsE2x4fShvE2RqmL +RW88Kd4jTonqNC7NtmGev5D7bxfQtc3svYSAJSveX2H/nYzI8FIi4NxlZX273uQz5Y/Gs30BJ7TX +PlCLYZITcrf6wPhTgbz21EhyMJfwaX5Y9SrLO5/L5m/fWSV/kfXzTmyOoVjzMrHK7PAkgLYmAogt +o845OtpmQUVV2QCgy3J7TQyvHzWCHiPCnFASk2SSohZ5XYPEY8+07/b5+qptFZBs3vMO0zuVTDG7 +sKXnHjlsDIclXMEMnSOx5vqkD6eDoKv+N7nSvThiZUnikp6v3h70V9tETM8UQO4OYGlevgLwfgmB +en7ADdoPwpVDSH2o2dV2VQ726xa3mNogpqT2u4jCLILkP/mtHcpZwQXEGYwYuzjkbsybO1bVkW/t +2dXNBoSJWnYTKO+t8Ne3IgJ6Y8yD++bTuBkdFjKDcdNwpRmhDptOpHvGXMuNEBWDC2OTrWxmkX20 +9vobzTLgbRZUl0nMLRNQsXFAO12/yOg7pjy4EPT0buE9AMYG5XRUoy5LarHyrPEWMG5mQEqzpyM6 +03V3Ki6IoKXrlAYubOuBQkH3TqxtgedlgO7o4maZjroBLJj/8DHJiKyInGgSHM2gcukAg3yRVfms +fOWvjq0eBeRNfEaTa/Eopi6SB0FbqR1+GFXMBWjAsny8NYE4rPZGI75AIkjlp9g5FM5IB0LSHft/ +zfQJfAlQ4iwvw4mvt/26wqFrhJsv5DVTgkgJzNCBIhtQupv/bFHlLToCQwAbZibxVbPWMrKMtpzb +W8SIPTlwWyeuqyHofhR6Lrwwb/N15lDJ2w5Rv6Kw8RSFu0JJaBAaGsFDyV8QilBZmS/XrMGiPjvV +HB7E+fPGkQ5MB+nHtMV35FKp076KbNDOtODWEs4y9b+s72AfEkmZROginUjfxe+HjE3ZKrDRwjM+ +HIsnuNzgS0yMAHcmK2Htyv+EkDh30gXkxtpjg3WrdgwYGH56QoHc4UDcP5NvrfvNxb+KQO8RyvjK +0ufA5sNTt9aYpxi1XRHUB87WJlc+rMmWDJeYuBbdDV22VLgvIb8j2iFiN5jTcYfoI9dQAUhekbIr +sK69CTbOd3aPGRk184Q4cKupR3zZC7dxLhSBeXvnk7IzHLJPktnuQ1bZCPkks/tp4SQaLDtjC0sl +zp9HP07RutKnxLLvoTFVC+GiDBgD3fOj9pOWk7v1aAiiwQwZWra6DjCBi4iJsowoZA8RBwyrm4dj +IBDOrrbAgkxeny7E8CViImJSO43FwUtyDVUbMukzQGbRD/HTWoMWmPsQ3D6BX5qbAIbBb+fYWqhz +jJT8gWgH60Vor6361WQzF4xFhVJzyyYCJj5J5ssYZ2TTYi5t0Fblu066Ie9eVkv32J4z0ujpbe2V ++LEOtCO7EzLCnL+D9ZOJ9zTC3AaVBY/jj79cgaSRiVyBpCSwgflD4wD/beHKB+hNCt9ewzWjHbgG +LFhfJ0NNbWIEgKzL0e5/vGqL99RjLVBA9Qu/TypmQP8O/PqcBGU32QazofxZo7JYg2HYhr3R4yNH +0ZDwwQ0VIbe9kHP4MwoBpYfUN5TkGs23QOSStVbMMU1pPitBzx8e1HXBHVTP2w+ZHwuFmqhlTAHc +amsZ0hJ8VX49FA20axwRiAftsptgdH3lK/lVNcJ7+hVEFPVw7buLnsPIFQkuWrcIo5Ou7RHC+nau +CIXIWK5yh2SwQuIkejlAhFesp/ksSUvXET9/RW5Ncu5RjOVRg0YWjbtQSWhxfgitZxkQM3lWDSSu +0iWUJST2+zeK1sswmI8kZmQ0ObV8RhmI8pd/ByHJagzliuf2H0YLJwIdVXmRqxNX4mYQ3MiyoowK +wp/M23hXCE9bnHpmcnYbTjcw+6J3B62a8P+Gpm3TQavGSHhdx3T4dbJAeSseNUL6M+HgUFlpm9y3 +Xbus8MXjvuz/FZXbLXj1qa6NiN8rQVYVfbl0dMuGwY5ngPAS7B6M6UAmlyNAFQWJUpxhvLTb4pxK +Sb8yTbQlifzaiymxyKBoM6NYPN92rIMP62J/mqwAar14kaed7jI+OByWK/w9bTdI1u2llpM/DONz +N/3K6Z9GK23xqm1LlJve8h94HNSBaIOzs6WP6cShAEq/Uy/PHD9kvoASP9TmDvV7W6PBYR4G4cbk +BnOHyZiIGoYhs0fSu/PB0JMW1fZa8Kscssdk8cHAA3iiKcIEVjMQRBW+/rj8W3zijkY7iOgfQgxP +Vc/ci5HVWuCnQD9HcIkXgcJYsK5VjCy1oaHfDk4y/Dlqr042v/uL+E4p49rKRHCSHBD5uPPz4gR3 +lvNh+z8pSUrOsbo2k4GabZeCSZXwXr2wOfg2B8aqpSe5VjmJq6LATFLr9Zj0qywhRiZ7fc7Ed9yM +y/cGAiO6FCAfQZlmZ08Gkn/SUvysJyPiHelR1o191QdKebyHRf0nBE+k30rC6YrYERw+03vgqnz4 +SyZ8h2weEhmPkkb8jaaIMJyNHmGs+kWS0bmL+bVIIBZtnbPU8VI2KimkEBCaFSpu5t1bbUdepqHm +snah4hz/0hrvbl8Da+1ZnCFxStZB6UuQFvQNPAHOgGf6UTjlwZKOdZSxUEh3goBnzxLtqmurR8sP +nQWuaGS8veedfbdNbnCnsLMesh+q9JNrSvQcD2VPdeGIgugi2AvEm3CzyffRJMKYtKt1MuWknR8r +0aTLfZuPPL5pfXsksVdIvtG1kHjTnMc7hkOf5RS9L2HbXj1JIoWq9N1AvWk8DHYtwcpeDYiMDN0T +kAQo67EW6Xghjy6RYwqlJrw97CdYl0C1DkYBjs5YKl0iWKlqPIASTmCh5m+jsMSd3pCeL7s899nT +HXupXhPAba2vxrpMoXQVQSppZESFreINCEwmexjQewrW8boVlYatsSMVX/BuSw2n6FQZguM95Ntv +/vqln0ss/+v/s7mFxaMP0X4iyUiK2LTr8jHumoxdJu/wX2Ag/RmFt0s4/6FtkkwUY63NapXDpteF +/r5D8WxIuc/8AYzqGOeEQCvDsZwoqsdILs2Hus3BmEgAsNiV0C5X7qnBhXb3lnNe2mGYRfyNoSeI +EIRM+kQiWvwpwKvR+1JuO3lmmxoZn3uOiPRuQXaoJG4Abj+a8iMKuOxJD9k0dxKZTLGoXT74E4Kc +gBqVIWOTPB4jWq/8OEL+LHADzy5bDB3O3T/fs1EJgyRJqlxcGFll7vPv78iR51OJUy2zzneNyI11 +utgZEq+jsbBfvzQANfaemPX315eQ4xdffsEAeqdmUzn7ALkymZlpK6RGwNEFHw50PYaliR9JE/nP +B56AE/LnqcngR/LRNAYS5KW4KlCMzhJBlVtbmhaRfVy0KX1imnz5Oycp93hojnAzUnTP9AQm3me0 +HBqltWKKRP0BYSmtlJimKftKj29R/rwg/0afV2XeDglyIWFqbu+x6a9fSG9oj/7I+EEUIFz+z3PW +J95L49cBB601n/KWpCuQwjkH1EVHoVoF0y+Jb0MxBeX3PhBAgl921bSnJonNY6L5HD+tCFE9+4nO +bmqSOQmK85BROw3BYiJ9Ad+KUchfRw/b+iSfNuuADEgQq62Nl6RvwS2Pm8x9tcdrp+zvuse9Fo3M +8UmjOb40B6iz9kBbStUixpM8Fm8tV4GfagWkb3Oris/yXgun9amv4dlZ9w8yK9m+v94ipm8jTF/r +E5LW6fDTmoQ9/ASeVvi8NgMgWdjDW0IE+KcSpLVL69eLiU+JyGMvJ+tKotR9nHjSWvQf1tfJrtip +s6QOPTCvKYonPOJExreNGAYHaliOcrIUqTFgElHoZSSUzeXpy1E6x3+5kZIQilM3DpcYvEp7wpCZ +1lK+TGCsL2TlyZoXqoL4OPmPb3Fwgwd8cTt8jbTlLNHFTSV5PsTQ7+tCau+hgFNGLzj3gif1rCPp +SP75LkdIEhF3eSAKDtyeMy5SEnyS9HqbWMqUH0nino0wANSEQRCKRB0yyEI4jEq6luunt7vWTGxh +iqIFAMzL79vfECp0PqOPd77vlNB+14ECWRcJ3t5dudJhjYHfd20jrnp1aNNreBW6GxDVjRb4/kFD +Hs59eqsx4wYBB02hXbzXTsFfq4AQZg5XtKHBYbJjUJt+938DNHJ1fvtmXDINILBFpvJnd8PxPWqj +h+jI4rT/pBB/eRbJhE759YHAfgZfSGvuAf+mx1VJDgI+YFiNxh8j/wK/tEherN/JZdpxbYRo0HsE +U0ujuGsVOKWWh5CBPZ9Vm6MI14SzqBbmTXpJyxrAZJGTmqSZro/jpBMJurSYH86F9I1A1zCYF+Vk +Gp9Mqb+J/Bi0i4ZHGx+SNKyb5pL8bki1xYWyDRzUB0EJ6haiGWlcMYNEDLTzHyb5wI6y9GRXsjAd ++MqRHP6gKCImibcfu8T2mNVm6UrG7G6nlxBGR3j+dkpdSx95qNKhv1cRX1vqCa3wf10vEa4ZkQse +UiHUSgBYqkZ7xaDD1ZMd0NpFwV7WjLTdkd0bwEOUw1iW3riWoOtvlNfEdZfTzCuh++hXi4k1JcjF +zz5FfXSmGL83acS7GQs3NDlFoK0l0AEIne5Sn9UqabF6m9yBywbzMO5P2aLvUlUGTJSAUY2M+St5 +pcznlmS6CTMYZar2sONflnY2J+IwiAd52Je1eTCV8ItteadFsqxJxbPywJ7m90WfPjMvG9ViXbW6 +mQC84cfBrwbEsvrWF4hH8KAYd50xmgmXYc6x2Yn9xpJML8MTmfUGzOJGmkvGx2Bdk9QSJBMzjPck +mcE9QnZBgyyT0+DIJkBcq/k5AnZG+PneEe+xwaVT95Y5LU8HgxlzR5vwe1GXEI3LQTEmPIMggV2n +LFM6cFFLOFkWj1YkS4JB/utOf7F697YI9jQd0q9wNHV0Cnv5+IIRnB4gB+zuialPrM7GEuyic2bm +t54NaCk2OYo/kH/bpuaYhqf1Su8yki406jXYYW8RWf9vFGxjlZ6tZVPJujL7IXB6j4yrijGRrUPQ +pr1/g3muo0vXtAG3Pb9yBPU9MrqpXCkYRltSWul72ekwC3SLQ/fpqKVNy74lD+8dpvpeXFl7V9h2 +/q9BKOhm1glBeguZTKHgvkL7k1z4qHCT8HjgFPcBQmmKH24Gpllq+Nsr5WkexjYdAGpXLzf4IYZm +WOiKtV11ViZalTzgf/meNze0UqL8icySYjsCL9hWIf7bUtvWrs9mYBGv2L5kAzYyJqCDZravE7GP +N9qsUeTGzRMBWBNo+Eoi/JpQej3s4VI6KhOFf4VfUOzsnL2gfLFjYw9PZ9lWoTwUxhzmXmnoxQZ9 +8gNZlvNHDCR7eQm4838Uje10J1TzdzcdoXk5x6y/W/RqZsztDz7bjPwic9gkL7Wd/5DtQf/1ryVK +zRkPJ172haoOQK9aZ0cYkLchrmkU15LXkJmX71Ln4z2Wroxwx4RcWoXh87a4E23D34X5ymYEP9wr +vvsmeVGzdWTk35+p1JhGOqKXFBRWeuoQurDDvj5MArQ2GhrT/5lGlj78vIlz5pNAYJv9AYa1w3WE +ILCjl6XkOgmI0GvllFR1KrtlQotlO/5NIka+QEaAE8Ouu7iQY78/z8I20LXBRqZYTjy4zao5WYTG +X8FMP1zSZ204YEO/ruPHfE2rSDyuVpZvK+Z2a6hjF8cR5z2B6B3k9ac4NUjGItKRDBK/eI99/bbs +AEMDGo3B6Vs5y/43ysf24cko4OGyxVQEzVV+yUq4JspbMD3ZMKVr0QlkBbAm1wPMBdY3AQOpGK4y +mTMUCWsH8+Tpbs2kqnyKMa1iH76RnAaTwdOB48uo11yabUHj073Q6mcI3HDzHGwF1zApVamc9lE9 +edcykzyR1LK+vrTabpQuxFJX9Tts9uexGw3+KfFluU5yKDr4A8hBIQYmY9aRqlXv+nRBNtWif/kv +o3rqNLiCRofXn3ICnn+4lY/ri1R+JzgEg5pOeSHapWhjwE1YDqH/s5hIm91m0IXDgP40QmpKdqmA +a0DGb9SBIw6a+zX09TckdIgqYzMjcl19vIrlYYMVY8KgxySOaTL3aBTb29l69PZxb216rs411j5M +Zwrn1FLVZsFvU4x0HMoP3kAYiUG7sk18R8L6THVjxbuT9UZfBBMVs1g1isULemFAJzOeVAvhy5PI +pPwJ9FyELh8Ig38/zpK9LgPpBTi/1M97o4aqYbTPOmw/tEj6mviKDrINHjQjIO88OMBLx95IlBBh +PMScrh2UWf9SYqMOgL3gUwBNYhC5cJ5nZOU1KdVPbQf2bAumBF9AkfYSstIb4wLCgob/Ev7FG/Oz +7JyMdfpm9FPlU1dQSJZo8FzmrQZjS9ym1spdaHbi6KwNuLhvfZ+CIRfUut9TchJglZ3lcTuVdRFv +j+Nf8AtCvCaRMeb66Ed3wDB/XSIrPcJtv+bAY9ry3RbHb7RYjY+3rzY7Cjqm8MR8TMAa1+ElPyIY +rgAFmurhAC+I+pDoK4Vz+RRKJQhPW1wIMwF5KQ5s/B1XbpO+3Y8iCUT4+YFVCmFja068HRiFY6ZK +8QKOiZ2cvmXSoCl/HIdJ1/skrIeP5EX8/vf/KL90i9uH4/3eEhJy18c/KIqFlSpWy70+i3IVri23 +etcNPlrVhejfDUDJHdj+vTvH9xQa9ru0bQQJkFWkm8ItEEZjMaf36MBZvQTC9V4OVlXxDxMgXPhG +Cn0mzg8gObvtbT2YrRNB20U5T+kLZdfhYi5v4zpnVb2zpU0tTiJUPQmp/Yo+LjF06/Rq0oYT7L1b +A4dy+Yi7wBzI0wLaYl02jQjAgDIBkvLAhkBW5mtgdyXhBaOUs9T9FMybiIqRBSY0fO61mFZ8jdmU +e3IFAQZOYkxbo4FrxCuwMrxRAq8oLHzuUDIeR0bWvtfSWJRPy2RRflPhEU8Ize8ad3YcLWq/BIJF +Efnax+H4i2+7kBJD4x8pDTYG68kz2zNGjwb+iM8btcX3/3rV/uLFhm+avqQ/qQEdZ+3cqBHysH4L +T083z9Yr3mEtGItz0e52PY8t+Rg1GnHz3gyrid+OIu/XhS8FPUCSMJK89MRk8q9PRoue4oGk6rbs +cKD+Xx0rumw0c+1L1V6pW33EqOD+l5yxEv/GKzr4BMXh2QngweXq714UVfAA1JGfJ+krJss2GDP+ +ro/7qxrxLO4B19YjmsVEqnJ0+k6IGiLfKDljrFDas7tN3QMcNn9KkdNpjS23+VvfH7ev//ga6cmN +FelxSV71A7Zb4SSWDm7Fo5HXKMBLgopQRS9ZGfgx+SnO6/4XW1yaP70yTzPTA9BE3DH95Ce10gnM +RnJI9+hv+2WoU3btrIWr5mi57yFJR1c2Vl794CN3zMLDtTol6I8ujZvXT+YteZV2jt7nDbl7PskH +O0Z/hJmunfAuf/qi2phkQ9PBQhutTffP6m5cPYqDGSRiebcS7ustefd6NtFLjEQ/XK0JKWQHeZGr +z6zJ7bZgHYnLbCCPJyAHwNYJyFR8VUEQZwT7FhtKha88F5JnswlMK5VcUGlAaxsdb4y9jJMwfApS +67fwIi9e0YFnak83yAZq0e0hzbAlVOq6QMuA9yV1od58mATCJD+5NY3kPbyJ0UyuxmH4ed8zdPwE +oIaFPiuwG1SE6LDAHzxSbH24YAq1F98yjRwCYUFhl1B9SXJxbzBw6ju9Bg8AY1WbCjZpmYEQ1VCy ++7pc8k+UE4zpwiLZ/BK3/UGeSbhnZjJKXscG/rP4zmUjQHtKeUAS+lsWuOI31mAEcXFoEbvVtcij +/suxWSJ+1M5lNgGAlPKBqZHZkpa6ICvPm+ZC/Klp3pT/UIw60h/V3TTHB3to0xt16ztLYY55vs2B +o1dbE8Ro1aeLj2H2D3APlW6ZMxn0rZgNM+oOLtAvrrh3bnijr1lcA4rUPn6zGdzdi30LnrSnQ5MD +8Pn/dTbrcrvRdaWYonNEYseCgvAycGfiV/9Qrssca4jSjXX+6pqTZB2AhCjdDpZMDvR9owDskK2C +rIdP4oA1U2/OnUDhcI0QoRLb5dKr9Aaa1qAuMCUADLsN/SaWWEXJTSJLTOEPSs7nFsi1c4n1pGu3 +EZho858etqGcW9997M4l7Y8UMm48bzMs4ClM751d+0L4uW9hxJKEwZanzfNwamFyL99kUpTkryQz +YghRljqqUd0t5x84Xez6Gctx+TQPE8hRCwWsCWnmb9svt7VIAi7/2bg7cgXIXsMJ/mJuIyP4FJO1 +gs4Z9eR5Fu2qHBY45q2+x70wQv5fKq71Sxnv6OU/IC53nHd6cO1QR+W0D+Ik19JGMD3mQg8HFeAN +9JLp9yNKZLGjVaWPnQsHcNbglNfZUWxlUv+QZtthQkjNnGRP3iJRHcTC+8w6kokFN/WwoQfFZsvg +rwj55SdGIHskF+dE7ROwavj1AulWYoL4V1NKTyhVuGtFCvsvLL84q2E07bP65kk4/EbmAzThKrIb +WKiqSfgVs/YPkySKzgPpjvc+vxL7De/yTsMjTOhajkQ9r1yXjSPWhnP+T5Zwok7j7QrDt/u5pOAG +/jvZ/ti+UUxKy81NV/KnRQwn6vvCaNaHqWn+0Xp0Nj5pGjuEBc0IcdU71pDv0GvIhaBPfVaY0GSd +G7yKK72qzaSRhiCpvukN6kyJV1+TpRXPB7R3wwkPhHmDDCSalyud7uSz7nzdovXcsVS27F/+b3bC +w+jeiP1uV6BEDFnzduY6ZInZ5tBapk8+4eFq7GUjobfkz2JPkvcBG5zjoxkwBTDKYuVckZNC7q9d +3AYpIfvWySi/4hmXNGSnPRhz4asQIIb38Zpmj5BOf0OSc+eNAPzJOWI3D2pOt3wmK5nN2wt+NZlw +id//Ob1wGYp7W8EKJgfBZfv6v1mq2BOBSPVejJHzE9A2UFy/Dgk02R066NlNULvT+R2I5+RQ0eoW +TayTZNj5MMzxrpJ1G/nM3tF1w8KscNlW1Oj4JeQ7rf+3uE0sphI/5rWr0DY8Fn2/2G44PPw6Nnw6 +gSDoucECxJREotyHITxUMHNeldxXgk+WIqWYnEECeeKC+w0AJh+XLPmRuhosEAJB2NdV3psJ9HgC +eURaccA/XzdX7ViF4kdS6U5AXr2qwelIP0AM3wV6lPKSk42C4PVNOWi6TjsdN6DUO1b+eP2CCXq5 +NNaywe//tpSvMEqBrCBxBL9/ZGcAN5x5+E36aeDSjgXUiQRYecFzGPmFnSOWbRYDYgf/8bJnPQy9 +I5M9lYq6znknUzcfZWUDUtVTx7E7zir+YT+uRGMX0GRtXG3vqWhkaGoO/IEpmbmXW0p0qYI8W5e+ +8QtJx2NGQMlvEITSvEZORDrVcnRQTJ/Y5ZoH73w90a2DeoHi+uVRxIW/IfJDj+FnptbdCYDvafpu +A3LvsjsptZLc4E0PiD357m4rskH6tqZRtbTSPfTxYSiVgciLGow1YGpYL98v4KtysFCMVrDFgIg0 +Rob5DEKrr3iIhPbGnUyX0d61lEK5oGNLqvZ7XqLGkxXN0Xa2T0fL41RzpxrfiEoxuFw0MsLRg0FU +e77r42xFSM2c8uJIcstTfuqYvpP3U2Zz7Nj8JRV0A65A+KSsAJcGsNPYeDZqR4ibYFHlQFG7zZBt +F43ZDt9GvZPXIlx7WQ+Tg/klOzEMGSHUQ3G+9YBZlURKmnn81p2WevO00rOF+C9ugyWIGFGxPXxb +wWLeghXe34JyOqTTZfr84hvkUyseFXbucLdmpe9/uNsJDfXvREnErPBHSqQVSwpTe7OddKQeYpl8 +KxY1g2CPICMLzYfKJZ9ipahnHfjD8TFJxg7t7fX6gtQJO+6G8rekAF5jDPSQWc+TkjlBRDT6DPi6 +AJt/o/WBqoMo3SCBnOiHTCFEzWutonHMc9wc0CD5pzn9BkuRKHr5G6MIq3JKpqe4jcOihN4+WjSS +9hgmt/jAM+LIDiWy1mkI3w5Dv4I/KOzHEBqWrwhs1KD6rXE3mLPPAoCKCriqDaFsNJDqzwwZ98uf +XDEiKXmuaGxvANlumJ4NhVExtThjtOeEct/tEldWZeVbrlDnOxLr4iRAcRMHwG1U5VJWbNoVYh6D +LHJLoU+nbGgDYzmVEOAJL3M15eUaXsne1Bb1FHHoDsRZFy9nLZskBPGAtyjHxjjCbrCSRyG8o1h4 +q7xamh14Vs4cVGrzLffzRjL9t6aj1y+bQxU4Ul8sEXA7yJ/wh5sHxp9V/9YY3vFJ9lIWK+PgFs2c +0zbLCJKlzsB3jZOUB9ObGw4PK1+P6sHcSwWOE2J4ai1g4XHWpBSLwTZwvlkmlW9JHzdD/4LTzcEG +0ufQ28XC1aCtrwZh2rLx7zHpFcU/fNhrqbljIMhpHqZhZnhbcSDjZLmy1jGOUNdah/RzRU6v9zlw +9izQRuc0iBXjHkPz1jWfEhAwsPjGg+x5PVknXhuAnaSmjdyoxfqlerlp87iqpZmUocTA0NB/fGY4 +2c89I54sLXqB15Ir2ah8dpXgJ4bnOVGyLSI0Wctj7AJxEeD33hwEI9jq4zYSew4JOseMdcoKbPDm +TBG79kjY9aVE4PLYEaM9alRlljKCoacPItzCgc/RyhZZu9OV4qXWSHmKFy2Pd1wKPAldKOX/+um6 +fN5UZ6QMN4BeT+c6872K/ctNJGrkR1CnDlVl/kynka3m6MAlcA7etAXLB26KU2ne8dpmJf/k7I/e +N51P9xx1GUD/WXA/xEU6q1X2Tn2EyKJFg7/21LFk6P8xAq0mbRl2PAyvXPeNemobz42ROHUG9oJF +5x0WxTUlsTNZNvIi6/MPWSG2zb+gcQRKjRXeQnbZJh1T2roqmxeksjR8XZWB950QBQNf6+clZIeS +sgN++b54aPZPYcgal72sUE9D7uduChOEOnsYJ6pf2Plo14z856v2WHNTCIlmrFWXJ18UKzDwKAkI +DIVKyvG+Dx9/cdb0fOjL9zctJTqMQnpfX/NZJy/HSvJxREGzP4LsvC1TERpuKaCnxhjgdv1RwTO0 +aPlcBJobMoNAeoNrzGgbjMRIwZA+dXn2hi/vFGzMLirgnlP2eAL1fMJDrL4xETQYXmvYWKmG7Q+e +LvsBp/bCOuRjEzo8w2mxatkJlIJWQeMx5fsI2CAvPtnU6Rqd0Dofgh72uQGva0nvxZXVrSpXVp5h +olSm89JOsrIsFpP1rqS7eNKfH51YprA5mmu21MdBlpFxPFDsuJ04nIVvp5PowqiPUDQEFjG0iquK +HCOIwQNNiF+gaVZWAJGA68SAjSydilnxNtN1Qq1tBJTmusKOdvgIkHB9fLfA7ACNNOYafraRmuf3 +ge4AIeyK7MXzDGBO/CW/szt+N8ZHMpddRGKnMhYj/NjUg9Da7qGhbaOlSAzbSyaWDXFAMjup9MpB +HQX7+nlyjIQzau8h48PxLOCDBKL8ISPfj2yDsJq2kh3iKIr/gGrvqPhVuwUDDJEEh0rxb7asI0bY +ni0eYuvjunyoBTq/96TNaZKXH7fcosqmywF6DxnKtcAZZ8WADnGZjvUvJBxoT/lNLTX02YiBaLZW +mb5TRwsazuG/0katJkklcPPTmfND9M5y1nfHOS4h/9Oz22oZ8Mxw6pE35thiiTvtKIkFPHZbd3Ba +gOByu7rWmm1B0A3y7uKvTiUztjieeGKt7R6ZBosuJi8JHHScsupCpRnNhOm6wbe5Zw+QQdy41UzF +99NJqEPrHWV/YOhton1xcM2Ang5gc9P0HxiaFS3fMRok7yfWJ05XZ1nnGu7q9IWNGAKibBS5KjeL +WapvvzywZT8X7Gtu8dlljxM+uqbyGxn9wz6yQeymdGSfAI85ell4jP4j4YRegTZbDDJspQ46cPCM ++Ld+X+fI6pSlMWgQ9jeiYOJ8yiNKoJqwwht+t+n9X6vTyYw4FV/qYCXro1u/dmBBhqlTiQJ+rF1N +x29MCtu5bp05uMv1nRBl/tvxy2OCkwTogLInCDCf+tccLjLxzX4n0N+pKUilaOw8A9rU0fYxmvM/ +etE/Ik2dBKPuIgdfJJHCRrMoBseIlxt1BraibUr8F2I3Kteknnhs1iNjoy7vhg2bGzfNwn2aYykL +tL+kBubsaWK2n4vbufmoiOprqr9+z5jeT5t6fz4SrYQ5R5fdXSiCQz+unmcH47IGo/Lq0hFRCoON +zQ5HTBGviAJwVgorXEqVA0Jtjck83pEr1dvHL6yvf5+T1w787C8YyRDEX04pMa97xvYX4BgfRbBT +OW8NQ4nluuawzp8ySSINC/GZhsLJO7N1ZFUcYwfdAIdYW3w1PLcjGjDoGxPcZ/q5ZYbfCi4RxsSV +hvwAEHXhwnTIT1nzcnVpqm6Ynk2yBy80BW5krqxjUfHqo4oGDWA/zSRGv/XZ+0+/adXGfbHKOW2D +6YAlTFttLV9fEqTdXgeL3YdEy1HktRBKZc1SDuFSSN7juouy1wuBTAr2+4jsp6ijsMMKO385o2jr +quPjCR8g29AgJwi7IS9S5pDzTJ3Yo77B+xibRH3ofmAp5Zv/+olAbjOYTeCFQAaWjjuLiXm8ZyDn +m0nzCsqJQecJ0PTQexWhSOuJHVy8e6Eo3tradz7cVdsiAAdcD+rSHRVduZeASlFQDvh+/dwUHRJi +aJ9DEDx6aA68jP8= `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; @@ -3444,7 +3444,7 @@ entity bram_pulseposition is attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of bram_pulseposition : entity is "yes"; attribute x_core_info : string; - attribute x_core_info of bram_pulseposition : entity is "dist_mem_gen_v8_0_13,Vivado 2022.1.2"; + attribute x_core_info of bram_pulseposition : entity is "dist_mem_gen_v8_0_13,Vivado 2022.1"; end bram_pulseposition; architecture STRUCTURE of bram_pulseposition is diff --git a/src/hdl/ip_gen/bram_waveform_sim_netlist.vhdl b/src/hdl/ip_gen/bram_waveform_sim_netlist.vhdl index b2a216c..e38bcb0 100644 --- a/src/hdl/ip_gen/bram_waveform_sim_netlist.vhdl +++ b/src/hdl/ip_gen/bram_waveform_sim_netlist.vhdl @@ -1,10 +1,10 @@ -- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2022.1.2 (win64) Build 3605665 Fri Aug 5 22:53:37 MDT 2022 --- Date : Thu Dec 14 12:59:29 2023 --- Host : STATIONX2 running 64-bit major release (build 9200) +-- Tool Version: Vivado v.2022.1 (win64) Build 3526262 Mon Apr 18 15:48:16 MDT 2022 +-- Date : Wed Dec 20 13:43:17 2023 +-- Host : SURFACE-EY20NA6 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim --- e:/home/acme/NANOQ_Laser_EYHC/prj/zcu_pulse_channel.gen/sources_1/ip/bram_waveform/bram_waveform_sim_netlist.vhdl +-- c:/Users/yuhc2/Documents/GitHub/NANOQ_Laser_EYHC/prj/zcu_pulse_channel.gen/sources_1/ip/bram_waveform/bram_waveform_sim_netlist.vhdl -- Design : bram_waveform -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. @@ -13,822 +13,1227 @@ `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" -`protect encrypt_agent_info = "Xilinx Encryption Tool 2022.1.2" +`protect encrypt_agent_info = "Xilinx Encryption Tool 2022.1" `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block -rwYdhNNJ53nPphbLvD77j21Oeonbq6Z0erAiqk1RpPXb0zp7pHBtqKJw2C5LzglScReglQK59vz1 -e9nFqqqDUxPf09eNrABSjjyDdXG5nvsvptpVnGf3CRCuzW+BAOmx1NfRIwF2CnQO14BklTUJNi44 -Dn7FcUkW0a4jUsV5mW0= +RgPKnWr9n0dGgttm3akiFhAlfB96usOQYxnEmPhGyTGg1AbizYAjGPWLXBWl50n/d0IA71ci4aJB +wt6mtfyNADm3ZReK7D3mKu037BOgxryoEwwf1kiC6q/PllxsdAgEMfQrfHJ3E2AzSpdYjoxVYito +y0JW6CUDcWvWa4WV0EA= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -ECFh14XLZtdbJi0fMKhe373qBJ/VQeNvJfLsF29/k8lNiDltxMI/hw4N8eayMNU19NYT80nndeu4 -b4GE5EogbeMZIyu4Qcr4BB27Zuf9xbMlyuLyuRxoP6fL/eDsdEfc77rluuayTPUvFb07ZGq8myXt -w6+Re9sDG/xabZOZelcQN9yU3kzn9wk5mOm/6P0adRmwTBPuVEnpoppskA5nqDk0UpZ02ziITfp+ -2J6kzsZjQCqgAbcj+BpiPGs0n5x+cwL1D93iVy0SNuh5lUafOyzNjAaOXVqPDjw96RZjdhGSYpOL -2dXKgqr3joOjpVMLGW3isCNSsZEe/4V7KKiZnA== +M0l6KpOGH3jL8eRt3NCD7e2USYnkg5H9GAnE1PKmnjiouFN3Y8kjWA2PZDAQLm9UW+TsC1HeVlzO +WjNCHkjR/6ubCsIcWfpPZWdIuAenlsyq8Y9l6b8vMj8JSbDEOiFF/GHSbKsn22MJdDJKEhHFK6GV +s8gR2vywRFwG69gIRE4qGhVB+WIg8GJrDpDMYH6lCjMkTrjXuKDUcNlJN3NPLuhJ7tsditwf1pr5 +moJRmGpJnip/rGm0g4o4A6ev4CtePjoao8C1wFtzHkERX9oenhh7cGjDMejU5IrLv8NxFnLj1FpB +9MuF1beTU20NI5oAn6zLiLiOtXjf0ghU3AN4DA== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block -VrO5fv2mXVBtwSRHdYHeDI+bGCTzz5uuC48jX9sVTvrCxt2koF8MsmjeeYrC7UZ6RRRZ3zzgXD0c -l3ghcUOMI6oWxyi48FT3M+Nu4RVBBmEQrDAOat8owqPVE3ozzqBYv1s5gfQ3L2bI3D7/yaS7LivV -Pb8qlaO7PuMFyP02eJw= +hAsrUfp6Qgjm8yBjNYTEtQmVQmMxzL8TE/3oiQSxSI3+yEkXAbQCXkT9mo+LCdv+fGECOB0istHd +eLtbsiYbxjxNxYkXiUrRE5O+aSxynIray+uF9DJigTEUZu8JJXUbzxK4DDUu1Lm9tpGps4+Prz1m +0gkj13RT/Y/418s2VTw= `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -IRu0p6C0L+AS2viaIAbi/TDCeVzss0yEzVqUS1b4tKdGmvxkd+S+qyPW9gG0i6LkZlDD1xSobE6V -0og/wz7lUuGVcQaKnHiHXsYUklzME+MjwbMlMT1SJWWHVTjhcm88ItccTThRIXT/P8pkgx2SUdsz -WX7EqTD3lVF18LFSMSY/s2nLMR3pe2vAsQFR69oC8uYHX+4hU00GEoHLNSLRnK1iwb/PkArDOdRu -/DM2GYBulBB39GwYqqaSmcCKQ1tIHUa6y2ffLsJqWIzY+NFu9ZsMn//HBZTtQODKuufJB5iagxpq -u85V8dfguTBDdfOcO/fDhG2A2EyY0Zu3S2GSiQ== +BP/54Wm/GJmb1jy0bxWJJX4aiKyiWPVh4X3VL30BQrmX4PlEsNKzBJH3Qu8IIYERfnFP0ifAgboa +vypMQ5Ed0BrMePGkWIgT6I8hxJCMFpHdkSK7m1giSKyZzFfTOrVqoNFXE+qdzLfY1J5hBWCvouYo +jllavK4N3gF9FLScH2AUWYVMcVth2QPaTAU2NLnAUNH8kgtBjBfc8/KbPPTznD1QNVqvFstzcbTA +hGQ1ETVPvINQ0KqxxAG5PRhtQD4+pC+hr/Tvk+RSvGyBOfy9zE86OXkJiYs9dSFhNiMFmCPL9DBO +se4OxNNC0/7aBtb1mkSEA9YFDYEb9jS7Jasy2A== `protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -H/I+AHHeY8qJXTVA3X8DYTeCjAB35iG/LGdUhoM/Y4CDrM44fGEsFPP0ZbuSuZg/xZvDhkCBslxX -VAe/feUvtziL0kL+CIvCJtYJIWiHLEnNT2UXimYNR8yN84Z2/6444oZaAGvO0CEYnWpvgMYbs4zj -AmbC2Gd29yqEChWTsEsiEvEBXH0otAp98Z9Y+XKN+X6oterbhzzSz/QKCbYGjm4x+cSHutlTGVq3 -nHieAszAMNPhqJVXof9EAQZoY3Iyo1U6gY39eKIZWd2h0Agl8YPwm1936n3md/c6nnujGUMhEKCC -UIPIjLDop06G88p+gRMlPBZ5ndgFFKyUWVF4EQ== +SnzT9DVH3xlEN8nrr2YrlvTO5qj7L22d7WaBcuKyTaiHoIwwFHrC4HQbfs0TAwkdWcOgmJoATPSF +F6qm0KiddbrlERF3MfKUldeGBJtqLdX+zGw7+3JD7S+HB9dIMOFOHy+IiCZp1/Pz8epKpi238cel +rcVoJQKz406wmXDvOo8KsT+XhRLs9BVCrBErPGGXKYDk6NXAp0duOgQE9DbslzMU83M/kUC7uERV +tQW02240peKQFp2elEZC7Tetvgp0TaFTtJiKN45REi8GQUCKGa85JjNIk1qb/+k95TIIP1xrHirc +6iX7qbwnPetv8TVu2NjkZ0WDEK5RXdOXcxBwHA== `protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_07", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -fKqSi9wP3ZeVSKI+0RVmajc0tn4Q+JUGHUpXla85NhP4hNOdB0SCI3C/2YXCEgx7UPg8+RMFUs/K -wLZA84vKtQ3BWlRw1VHLjsFgid1L/Jmd+QPyrJGyekykTAAfmkw66RQfETXPmtE7Ee31npye9L2y -jIYM74AVUotjRoALQcAohBD+IeG3+AL5FyYxMx9zP84rrM8vUAiHI9R8eswrRlAH/pY2VcptGUgs -3K/03V4nWUFWLAwt83HlJjveZY08KeQBe+Pg3OHiSseAMCfGy1tNGoLOGb3GzuAUSLSB8h5lUGyT -nhltowHy3IcGhmMr5vChsPYtJynOMvwtW1idCA== +erkR82V0jX8ytva+9MzEs0c75Z7j7TsgxWRLNAUfbbU28i+U9YzuZlSfYU75M0f9jx1gvxtBrfKv +cNHVdkR+i5zfHDZsDwfMEEBhs8wzDCKqe+eex6BBEvlIOesCPXrr2RozQgaQ1PBh/os2Arfu+873 +BjsVxFJkbhpzIqlddOo/XZV9Yi+eih7A7pXXEBR6IL7Poo4Ka49MiVQU0xJrDTm+ddOuMPDRRD7g +dsxS/uzdcBcO2myV6g/7YH/C2Ce9s6+UywJN/0JeXSqwA7bsBqqnfFicVAT0lckLopMLiuzK7dsN +EwhFeqoetciFrDIj9+o0xDMWBZhgNP1u68vURA== `protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -LebntE3a3PqT0OsperEkmRKEtGk6d9j65tJMG4b/ujTejJ8v5xwCUZKAozK6rvF1x6gd9OrhBfm5 -0vrRMbFipICTE1egQTlyzGjR0uCigNkEcYO8OPnRAFiTs4eBQWp2CZgwXVgHNmZDIT61wcZ3LhYX -K8mBMUKdk3y3Paivg/quDoHRWSQzgz7kcJG0FKj+50/tQK9pt78w7u1tzbPEG9S7Ke44OQvDL+ry -RZme2qI3k1OOc0A9hx7K7KFcZvC+wyPLZ93d3p4eCNAVBDzUD2NTv1w+3J84r9V/PTco42jmHLpn -DGDWmNTcQaDkwbJx027mqL92V2d2fdmTAlKuhw== +R+BI65BKLT0I9hEtsxGnDyM5XY9gzULeTPOSDXvd3KWOzZJAx6C0xlbyZcFZhAEG/QIK2yd0wAi6 +IUWxyF/sx3HsqKjhVi5KxnpuXDBOZVoj811O7JukedFVmDW7OHGtBkuiJ5X5irw7mfsEKRQmF/1i +V6lj9HYHZEjxtDeZjACsLY4y1QxWalSKT4HIMOHznBLL8dLbGMlS+ZmFuFn0gcwZavVl7gTkTtkf +W0gn01A9ru7NKsf+iLX0kj4dgItPu9N2g02M1vWQ9UUQEVvfV7lUc7GY1suibrD8aEkhH9S7lZ7n +bFsT4qxyvzg8ML6v4g4v7N6VuyhEtgFgNd725Q== `protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=384) `protect key_block -XIqNihqUBm4hjS5HpDfYm96yUATy0DbzEdTupVjcXu4iR+GOI0dSij8tdgBfZfJZuy/hZ5J6Q/CD -krTskZw9LmV+BGgB7CGJpA4yYSdo0CM0UNZJejYEavzaJ9vcx/1RdTSb/veiWG/38Y60+tSASZYe -P7nSwTZkmSvpgxSolSZiYLqupbb7RM0NZ3S6Zrl6vNrlNsdLPgM35DZ2y8t2UlNjCjgjOvetmWWK -PmOw1XGOO2Ii9TrupHMZtTpAzZ3iQjm7ifEQM/FnVutWRl0WiID5nrLohEaaXH8X8BpfB6+fgBRQ -3QGkB5AcJNRqpzkkeqsR9xUkwGvzeh+EsQbfj0dVXsCafO/PKigtQaGBJp9FnnZo8Sc5iK2+goqv -QvWnVHUYv2/M8otXsBwG2pPoY3P2hpewr2+LUXnOgJb8riGXMex/ks2EUNrzWoF338IjYtrqaGil -xT/aMGc8e8OabiEmKQvnTXQjX8sOJ5D2jzZCz/DkP6h63EbCfU1RB7rB +jNUVKiwH68vHsU54idgvKwaVJcoxTUuxfgrQpbpmM/IpesWA3wHsGzYClwAxkKzw3KRnFyQqTWcZ +yj1EQ2CMBxlJ0kyNbZW8OK8pXzeigToZ0U6Aq3Gy+j7wBbhe83wE1Ygn82sK8dHTEulvaRLn/c5r +ispy1s7jMKIvYNzoUuZrgyBQyfaYmdqUia8XlQjFd+VwzhTXKwzvmaqHWyaHjfBKeCooO7+oUxMG +OJg83W54EVe9ronFQ8Wr9EOL8ia7qelCAgyQe/bC0HHCoMAm8apI7sX23iMR/wMiPP5V2bQzycy+ +rBX/+SWkqSeIE1FLm+muFPvrE7iLwJaW8d1fzdFFjAZ5aIXArbWNfwbK8S0TczXc9lEzmpb69rwA +UJIrs4alo81qGQ32UFhjuMQjX75O9Od1HWHDj5PFaT/Ja5Ly+bK8Cc3gfO6dCE81m7d+B2JBZ/Hl +tBA19QuOAYwT2EIPOdpaVtCULb33cWODWu3qQFhZMmDzKTb3kwpcr0LL `protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -DgfwbhRWuCEhZNNv15BvOLEIflIisRGXUogTw29yfIjSPqANY+I2PMwg/6EPqxvQl9OAnNn5DxBj -9KiIEucWffEXWkRTYwbBvcRvTnP7i/fuplUyCbXGJ7Almyt7XDZiWw3N3weoCDSzRBcBHwafiMfc -oJWE/fkRPV7KSvNFx8T63nLmTNppdUvaLIraUUBPv8hx6fwFhlEmKFCkOI0CIwR+jjtpOleUtykI -XAobxkLNq1gekwN3CScXV6MZJZ4sM4A7NTl6j9UoY9M7iRQO6yhfblmxe09zssM+bLJ6Hevo/TRe -ytQD4Q5AUsylOvE/pzx0mjwQJPSBoJyG8BA/UQ== +MnzcA2swaxH1LRacKDou0ZmiiMRETbWIdHgeBgyQz7ILronsXLoB/C20WuFNGEVSiL2/51EZ6MXZ +vMHI8fFcMQCJcuTBDBibUMKv6bXI9s8fTbtrBZppbF/R1icG5JYhqmX4aRnv4W/dxJRjI2L35nLN +Y48E9OfgfkD1sr+IRwx8WEKFmUhuk8dLe0VOK7ywe3XEcneYvrz+HhPj16bGmNfMwNnDgZ3gKKZD +hRnys+jzvAX3HyISrErWXhMKrhWMxXeTNFJCqNQ0LWAVHQYwyKnF5xVpyXSuGNSIrva+QXqOrZBG +3VNLirNVtMRiKLfwZeMaqvswkqBDAa53utlAAA== `protect key_keyowner="Synplicity", key_keyname="SYNP15_1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -22//zdssm7vE375GAuIHPBF5XitUi9NzsuPTXi03dsB69BE7M+3lk9JAf2l214rs5vQPm1Nj/SZk -s0EXjj0WgJZGDy8nWaANfePjmfAGqoPy1+nuzth458Qrf7qxugo2qeycRlgQbwsyB1T2q5ycN5x0 -+gcrhGUo+QOOeCnVCdEgP0aRRQ0BZQ7BbIxa6BBdPjrDMVPWKDKrO6gngKto6sGpXUGzToYE7B54 -sQfgxna22AiWabNzU4KDfbwOp+XIWs8GtSIgOCUDkaVb5KSTgqLdMBBE8mU7ZjGicqIHzaeF+IDv -IYG6/OUxAfNKjRtleGKs5a+ZIFNvZnNV67iKoQ== +d0xXkKtsKM8GvXhDZr16p1+oE3uBtD04BJ76eGGIEj/CFECfHVy9qsJ43oSKjas0+AJr4GFFnVb6 +X7gJV6MmX/OboC9ier5joUCGz0mxVzkRZK9a+LPEDcg0K6+cLE36kr+FfxW9Uk2816EHBCMCf5mK +A4eAhSmAb5Nq74F/q0quiG416npbny7faiQ+xmPDfYYiM3UuMKaD4iE8ODlz1w5xThPllWESf3LZ +NTkw6fozyTqZ47vvE21O3dgIGAY1v+C6BwlCK24VwPJa1xs9csY+qTk31j5jjAc1ExlB6QF7t9UH +lk70qdNPWxT87OH7kFT8UvPO1D6BTC3/WkDZfA== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block -eDCV5f1nkAIvKCut3rKuLrHfjeMdq0N2T6AKwp/ZIO6/qZciWp4zC6hOMmbF6VSVGmweIyaztoRx -FaP4RONylsW7ONgRkEu23TV7mNhjsgpRDV8+J+Gn/z4AQ/DKqscqdF26dVGFJnZgN7HD2XoDXh9w -HSwGGSxfGjWgssnYSB7w+yzGDfrMk5lRotH0xkFPsF3a2rA1NeR6MQAAy9PcAm79+DmRZYbPbijn -dYubUXLY/sJMo/vlAS9cJ3u8LdOBKJYVfrI2UrvtfF/FcgIEnBLZ+wvyqEw0s6WkzgH7NoegNHEE -d010UvGsllyL71w/HKcebiiwlqjq9o1NwfKnwA== +LYhdzKTvo93uJrAaiP5OfCDuOnu2BSvPnxlv6I7h2n1+xHtj34LNNKzWEgOg9dUV9cYDaHYUjjEt +DKdWcz6mZ61d5qyxAhpv67fc90v7JVgtOAcT94/Yb+AuLxXFcGA6Gic7uoJtUgz6JmTnb22Dxdjc +KuIewDj4IOTfP8XGXKTaF+cNp0CFrQgTAcVSQFyLFxr0I/9h3S+GZLecA7ntEeHEOfCJzPvy0ddi +7MCdQWECLb+fXC0IAn8V95TumcpINiRAX1BHi9IGJ4QoMrb3jOCrPkFhDMTJj2aiImUWdi/l/0QE +d7wcXlgIEYVeoKYUOJ4mqy+zZPUbLNeOPADUDQ== `protect data_method = "AES128-CBC" -`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 40752) +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 63840) `protect data_block -wpJb4EOFfnj/NWZ99p6UfuGA7Y38/caqyHigIsSbkuwpWYUCBKxXs8X9V185VczLipNHdDUwal6P -TdKJTrW96vPARVsH5qDv9bNWAQ3Y0z3ZLdpQekyIZrkEwocuci7X4rJ6kzDk7XRNcR/gpZZYU7dA -X9Uh+n3alj17ApC8VAxp/n7PcozhtYz5nbOMxRYSQAsKHy46PGudZs30EqvYyrUavk4X2Gd3s4UI -MvcNh4NL1QaigzLWYNlidajfiDW7J1/RWSv0Uw/5lMZdTROoaTC5+x/hqoJBN3AAB5AVLVnz8qlV -P1ZfukRP0RfD2B+00pa1in7Lsv5ZoaWHsESKe2IN58tS3cApf6h+TwzSI3eBr0nHgBOxlT0jM38K -XMbjOoWBbsz98eACXpWUAUvnApKUnHfzwlx964B3O2OPMdaoJtI68+6Zj6OrszuVQxFZsUOFBOpK -sb6CIoOGH9ClEgJzhLJBoddlUkkgP4ECuaPVbVfoIKBr9TuDDHFXJJNiWqoE8Ulm9k3+e4m5kiaj -rzBjCgT3rFZzWL64IEDx1jwb4RRN/8/Dr5nQnj4rH4cS4E7qJQIgRL4VGixEdgEXkwh0V70Sx24c -C+t9O23bA28uOpEwfKAQaUx4fmJlWwv2/T7FeZXsgY9oNniiPCXmdkQLNbqj1g5P/Vgj90egISeg -JBf+ClD5DHRZhqAdMixXV5oWCTO/S2xiIqGg1ptlnCxoN8mmqkBsnLDciv5B7iaFVOCsBOC4w8O7 -zLzj3mqSxM/zXrAJwugprMS+AgKD2N46txbdezaQfaB94TgHJNaI990SM1n2cz9ij9Gub5ftCVNI -dtASTJ+kcIgQpMOzMzWrH4XrXwdT8Fgr7VVf12PrwqKJnwmXDIz/ni25l1tOVP+wu15FdB8duoAb -eyZy9EM0CrY7YLPiF3Zketvo0Dx1Ro0MCM08ob8bxS7OFlMWLNypKo8ZBaxay0pS4HZKExrFimpN -QOhX98rt7Xckgdsz2aM1Cl5VDtvU4wzHutbrvk/lrXlOajwgwI/nTAzEsBhPOJ/icCGhQH8sEgY+ -+lDb2HoCE/73Kz/UI5g9GISTMevx1YdGev6sWlaU3sMm+d+IhY5Fk6B4NGI/ajhFKPxIdZYohYqm -S5gjIcxyo8WbJG2467cZ8oc3zg4bQJognm/Xh1CZz6YvpHF9n+PIW1WihVPap6tWwE5PuTO/1Ojg -53xclxIdp81p7XO7jS+pzMEPnoLqiceosNRJp0wlG27INTh+AT0ghgOHydID6y2Tge4vZoU1brma -ja8uWx6CF8hkGge6mwxEB7xpmGeJ3uycTOoPUVMFeAgbUBiFkU06TL/0K6Cw/woKFt4465UWg/z6 -ckUiABegnaOWGMglR9aNuVj2TgwghXdKfsc2MEuhPV1nW34OBtqQPAd67cT9RZNvlIkVyLFESmT+ -2dmsirlUqLGiPWVjAfSHgX3oK+Jx4FDgktiuLkjwNTbywGbpcM0R7dSpanbs+UXAqd53WZxNiHyO -g4V9EHFHP0XTxY+VJK6GPhSWKMqffc1akaFl5X29f5n045YdPvYbLbPvkZwX5S3+mC963M1qEDcl -a6X4gdm8TxSJ02+VPEyP1RD0jIt8uMm5/EKdsnvxg7w0R3JjJagMTDbAW5EuuxysPammxqs0gbnZ -/A4XervhHgUGWI0VwJJ6oy1nxhjDt6p4felKKTMZNZO0H+DGxXTFZx7HECtLD+MKAXKgjP4ddQHW -DTOuT+VAriLqripS7/iEiz2kba0XGmApgF4X9tsQn8xbT574MvluZUsUIfekgc0G4ubhiyEv7mee -zll5MWVZpUyNeBt6T5dN8m0SxRcVjbvpzQ8r2bxSGd+9YRt0BFqhExYg3eLkvB0zWDNZPyubFuJq -FRisa3xJxmFcxyHT2z1XU4FiNnc7XZoFzBTkVdES6V4hnPRKmjAx22o36XZZjZyphz4eBqq4MVxo -+J/RaEvhG59A9GptiVS66F2FBYnDyKN5bbNAVKelsbK8o8sE9xI7pievdWs4mEYb4UWAiw8dEV2s -Eei1dscpfH6Aq/M0EmEw6DD6ec6+T8xQ+TMgPlU/eUrzKYaYX4rfzGo4FNBUPdWFK9349QNsMxHa -3Wa7wMPOR+FQHiV7Th9kHL0TjIhbBsTvKQkrbX59hYc4pVo/x+3HdS0pVPJYXjs+EPe8Cpr9zda0 -xm8XHSpkFwSAdnUust4yur5UqgVWGc7CqNDuS/o2cibtgFTMLv9k5XXtNRRAdMdLrOFuWn7FIKZI -Cm8TYoJOjUph7XnniVxi4Pa4JXxKN7dKNRTF/ORQRSN4XCQz4l5qGxfYdhbRu3Oyi/lORGgTqrJQ -7AKLiQWs5YNtTFIKyAq1grYkjLfwKU2NoJ+xkEUuoy9ViOQwGSJxREw9v+oHvOSHXa0cGSuJCU4w -IhACwNvL2e18UEaqfqVgKgSiMlBOJ5WhhDV+9zrF1B3BsYpb+1RlwGEGLMwk7akTLXVzHSJQEeCP -NpM6Xnz0o9YdjD7JNvrDLDVlA3Fu+hjNApadE26C0yaUYzeMHYIlv4HIdwssevs8404YXgkI1uzo -oISOLK6HuqGaWPzbvuMtbhnelP7h7lMxPXnixgBxIOQRIbh6WXhL+hwwCY4UPUgvu9UpmibpFHip -9LJ8LxtMixQ9NnGxJgMoQttIX0ofv+nFKIbHE3+5gF+dCx/3C6LwWTy8RTObYAqL2nBX0yBmKRMQ -qL0M+hASmT/od94LygIy5H2GAt/iH6EdQSzAhjfOZNWACCPS5VqRMG94Ln8iXN9FnayRjFZNnv7n -RoD2bSMgdyLnCmW53qk9HdFJWQBWv3VOjY8Ct2e1b373qtXLUBWrQ19EZ1lb6gLVPac5cTq8Opqu -dbRLCL/jdGPRwQ3oDgl/sxQkmamsai6n6QHbAk1VpNPMY+VMlIOLYg16O36VHmci2KSXAccDcG+L -PggN190nm6dqAj3UXEDjLzl4HVq6xwu2qu6JKGF8i2fwPNQge/L0OcAkurqPpwsGjJTyOOSWE3Ot -c6GY2N7ElOUN+rlW0KGfXF2EPsiyr96oGiHZVIt8ElC5IoesXC1edOZe07IuL4aoUA6TwcAK37Om -r0cCthNmN15HMTCrQPu6YlH9PT9pTW8IMtN9MB+lBx65Ty4Y3AurlxNhIo6axB4bTqbB9J6apQbJ -u+EDHiBR+LHaQ+a+nBP2PChB9YByfgl2fB8wH+oI1ja4AI67x/mK/tMg+PU3wofc0P14nRLmCK9W -6iecKz1xOp3FLGgXqInz6Oo31yPuQl+YD1BVJviugCNsxtZZdDERnEjbkf4PgGM29YWR14sXI845 -zZUjMWkHqwnBT70LC54BQ+OK6HURxO0i1QEJvxs9XAvVKc+Ok0YtFn+X0gPwag3tsnOPNdpszC3l -WqsMzVcA48VKgkQHKU2Kvx4mZg+VUR5KFP3Ew7wY6O3ZutpnY2w8umqV16SEWDtCaPe5olZ+aLXi -zQVsTnmdQJhiWtmCervJAEf+zEKDd1nEOOZr3CiN0ch/W1QIK/tSBVikmFrRf5XBohRgmke2WqGL -L6FqnQ1oOCPAkR/CQmT33iL3IjVUNHLMNXSbjjA9eV0zvAMO2aMccTClAFaIZQSbpwpQfxHlqaQN -ITv59v0cYROxBSP7KdxtPw/Km04EGWX5wtvTTfzkw8WNPd6Chr9Vn2BuoGhJ+wsbBU6f6reV/DIK -SfRxT90svUEAgbhr/PTEkFmyRM1OImkY15yRQRhA9fx+9rvDS2hafBWvY0kSlPAEgeieN8BLF0r1 -jnk/VSqPHc5asOS8YvoLQuKXI4v3Gbiypie+ArTlXwvKIMos3lONYRkns/pCd2mqePzFjjGqE2x2 -Mi49lWe9RSGmAPBuK5uBH7ySIjqrmPGxJsgOg7DhO94MAbMpfowZR5kPvazl/K+V4UMtHt+S7XN4 -ijT3MV4JHgl5buAMXwta5BVxJP67BvNQurVD3ka042ZttsyzCZjkTU6DkdKn1n/DjSI2jNkrB54l -+ovoxvIzf0B7gqWTrlO4J9R2K3yrcaKS1cg17n97FFQd4NEEN2UWLjBqG+Mrvgam3jq//e77T/py -WENE8IPqX7RcQ13n3aQ8xHwCvr0n/QuYrLSgWQMPd0qn15gHJSzCucPsniuqJ7hHoQTAB/A+Pz5a -kOoUF/nEWuSyllVt2a5NmwPeYiCL/HMyPFSDsiF/PM38Z4kbLnXt/FogVCTCSAGSgjgE1YidAmfK -a4jFa3TdNwh0DyA33ImLoSRGfousu3Fu8UUxMaCULEp7cK7Odpwcj1iF+8rygNSatAXqC5k18Hir -yD7gwrIDNcupO+MRbE8yC3BMFIc/n0vQ0rG5WMrGQcE/M2M8sOncoS8KJvSi4Ugf2rpVzBOBckWD -azl+HZTs4lQhXQH74m2i2rqZ3Sma/59RPdZkD0HJeSfry7IXCHzn7TG35AUFQ04SE58vqUDAZinO -7+QlJah1bMMjVEVT1EbR/5SLZWZPjXsrYAlBw4h/Q3Hew18GQoM+BRkCzSAiFwX99hqf9f+ymz4S -U8ccQOVslKqsrmyUC3LcZjfRpO6+66wQSkAyLw3NWoHH2hxM5hdmt+31+GYkkgUIYIsyT3Wl5io0 -p4JG8dTZVjuRgTbUhsTpkoyFPFkBBKZnnh7KJrV0459cTLIG/SDUNnJWLbSi7ARag4D1woTOFIe7 -euSRRYulvHl/QFztsIk3oxd8b6Ko3JX08TynhYyNP8lXdz9zYKzAhifWpwLwCqY1whsyDD1XQ1Gr -RpeV5jPKhJIGWVDCNRrYCzZ/LyuandG6brmmrholisdgHlGuPTBc/LUTnptf0rmUGKt9YGQdcufH -ZtwKHnnazUdcYFk3jb92HSBMn+RRqvIWOsi18d14lxNc/INGti//7rMfFgGto10imxj5dA3OqW/2 -JMBjahg6LsJemmq1gVRqylOQyteJR2FPtJgXBuEBnult/WzSYXMyhRIjcHjvZtgbO2lVviIRpbq/ -qzeMzvnehCVYjblj9+RdU86E/px/JK4hIB0Uv7cem/cRfJja5piqZ65S8DQsZocPzzxbMBiGhY7C -WQ54QoyXBrqlRMZ5qFGvOM8WEU4qdxOcc1uuK3wlYsIQk85DMp/hPlcPoE0YXwyDnob4OmOAd2eJ -blPa2E4F0myC/1ogYUYfDhpZ4UbBHxNRigRyW+ZhWoS+tWldq+uI/vFyLdKZx+evlmVgCHkAVpmv -zVRY0/V8n9v+sT/UgLUszOZ+s7fmSUD49eXMmlw5D7FHaz30P8Q6DayC9POUXMehzg6xoTbhUWxK -WnP1TAe8GG5NnnUEYexwJUlApF57+DhRXTGItdX3AK1DlvUIEyBWxYiD5f49EC0X5Al8N7oLmCWm -pKOP8WFyecTZJcFoKQfqx3kZ2L5veJtcedqXNIWMAiWkBdk4mrlVTIdJeZST0BXLEDj3KjF5QJc8 -9cJ/3mgqK4fkfBqMJ4VvphFaSf0tNyAvfnHKvj7EgL5iGxlTByUA7V7r08dPHl/FUNihLJD4AyHp -NoSC1UW8fyEJSAduLBHisExO5PupinsErmhKLT/vLiuMs+S5A5iBQC9p6FtDc7lQmVYfYEBpE9Z3 -aP3zTdx3Is6NGM4dUWkLMnS2Iq9a7u8/9YB1VNiaW++Yq2envO69OqQC34B62QhUBHaEYlYrX+fI -Nb61XeIERwbKsRTUR14cr0ys7whCTbqmnXkOf5krYggVwGscpHkIsQU1TKrk3nUKJTMdrXVfTdQW -/mm+8RRyUN52NoplsIHK3mXQY/vhPcPWdOXzvHSIjs/DSnIYtZygDoGLzE17a9EXYk6KgVaNrwkX -gcVl3J8Zbw39IY/6EoGpCPz/aRdNdWk5I9Lj2d0jic/ZWqrZaZzcZ7zrSR1OIz+ZjYMc0pv5bQE+ -1ChiElwujjk1zrvljFJZ8eZhNBmJOl2IwXssBkNOa1NB6G5PklKFRKqqPyvg6Y+W4A0UnyT/qYVU -lyaKTzmXFQyGhYGsmXh3m7gUnn2MgbnZ4t9j6RjlzB4ZHI9r/eQS+4/B070WKDNjhBH0jRsOJPQ9 -HfhczBFZ9wlHGKw4vZB3Nm1QruDVpIWF/zTkhuFzLMjeGn1dMylabwHPPa0U8fRLvIgVoLNLoglQ -bMEoQPSHA2mcQJJunoBu1qvtCyCjGSSbXuBlrwe9XPdo+FWvso2HbGw39sxgx6H+mrSPgDeYxhiE -/ap96nmmuqUHakQmGfK1Kisw2gmbbiBt91ISkUkDoSM/6pTKKIrB4CvGZdXk+Qvai13Ttdutv1hh -dMzvNgiV4e7s4rJHPWop6FMqwHsaj/xw8YnfWSNLDVrm2W/TRvIOrBMaaUrTLoG4uYdE4keFvUkP -oUX1wn8vyVQq7UdJ3+V+wZHeCA+QSl5lDk40yz5IbTRMFf3PbxAcA7/Ds4vGJVILLU8ETMv6WC+L -flVrdTlgN9GouA5WAL20Sm8SrqwKge0pjJCrNlH0eENKaQnkCRBnPoL9ybX8KGHJlwuSgR2YDWQH -dY/43O3evsailKokDFv/S3xdSu++cB40m74vgULVQroFr0hjlAOeLlFCiRM/zSO8XLQiIJHgZYZP -YvH22REczGmaVmVKrehUBnrgFGuzFjR0rAzws3SSxcLLbou7t1SZeHVHBVWAB7sLac7AkybCS2G3 -BRS6xPBSNOZgskK3ipeKnlVcYUqv9XP7Wl/5QGXoYlNvW8ZQl0rgcPeDNj8bkeagKM88uZc8dmfZ -60Y7Ynq5Gxz0mRSVaw6WDKxf96+6Nl8NVcCvXKkmGBhWKKmyNRykW0uEQlTqPIFRP5zi3RyAv69b -MeGsO8QpipXZMwjbzDtYD0/oGdgbYj6B2uuTFlFTpzjea0CtIrL53cf4REDPC8Y929fqmupScl9o -rL9xi6A5oGkSm1S7NqiALbsIcfoVHj5B0sXG6Hp8DntERNAuHjuHvqChVVuKpqjc4p/jdmagI3BY -A+dXb+0bi0+37GA/w5x3Kb5Zf5hctG6nsJ7WmvQ777QVu+SstX+Nuo6+4C8pCpSAC6JqK4X960rK -Qmn0H4H6CGeiym6j0ZQIjHMD/N8tFhs1A0QnDRz24GBz32cN/CBUZmAlPwapZq01CI5PbFSkPKoL -vhKgI3J9W0cI7r1rZYCPSGx9T8bfA0Gx/RTK51bRy0ZrYVTlBR4lNNcqknnIpVmERXE9dokphwLO -tYIlgGRllGBmD5lzs6s9fg8gCuWonmHnhzkcE/WMJS89gThWQLDT2X4nWxsPvLj69ho8M3GN4UU9 -SpzjCuqjw+lTYY/JL13F+AXAXqvk8bvO6+n/hisqQjMESpz09MXFJCfl772r1hj0n6NxiSTZdgzE -29FbxEVt2arHfWXjlAOtwQWpXjUG7+s7lP79d5DfM6elhVL2ksK46mOUmSHyLQpeM6PJyJ47uN0R -VmjgbHZQz7/OWjKJ9qqFemnqmCqy0cRgqVY9o1zhzbfsjjgDGANwQ6nlEN+enb+sITWjUyJ/j8oC -wa2z32heM5XQcGJdBFkc9xVmqI72lp+6x9zacjeRZ5zlkKha5dv6x4CrRDmiIlHccaKGivDm3Kk0 -HJV3iwCRIYZk8tFZug/jGmkodZhQyUU8/mB6S9klm8WB0OqL51xvYjCCTx7mrt7pC+QeNk/8pVNv -jvr3Mi1kFhY5gKziy1m4sNHHS0gHZsNIT3FKDIIvJb2vK/y2muNdmPm9ragPpYIa66uD5GpO85Xy -0eJ71P3acI+0iOp+Xq2BA6ZIksAyyMcgi77lk9+StjsINbSUz8HeYlxuIwB9URYKnrwSCRUbUXJi -hdgIaW/VXZJ/Go57m4q5F0PHT3JoYfNLvoDFl/kiQrJCu54ycbFrlCvjRUjtUEwvfs7Me3OEcXrk -gsnrhvRVfmo7yhC8KlC2Yw8JETNUtBdtX1cXsvkeHmnMA5vkqrNQvMM28FOnuQW5rM8fK1+CGy4r -AefjQUMVayHhSMCKlifh/jC2MlU6JzPQ0QdVqar0aL7UwXl7jzZNyG7nJIbDecpLyHBeBM8P7gYD -WxGrsMaOe1sT7jksdzbx+Qy2YaRDCkTVtNhd71YFHRjk7UqfKwzWqyihjOOFFSrFtOPB/LshEoFe -rHm7/r5z5JKHhTRMXaNhYRXo2rEg/HB9jeMJKkNNhRD2aMSoVhh7qocXvMOtTFKuSHnwegxp2hBx -3Sa6V/xwL60X+pf30iPt9snfSMlcyPV67JpqjiprnbWQekF49XITXhm0BrXc0Txg5Qc7xYBLPUx9 -zKyYHoJ3s47urA2DkVgtvsJQrUMwRKrcOqWAOWlI9V8D2fP4jewKcM5UeZTZJbrgTxomkPHGCOUY -FzUmjXUnNldBx1UElY00dsApEyD3/aw8k36w8dQWDinN1MGZJNvx6EPfGL8rLYyJYOYcKgyiCWSz -YQxrthrI1Y8MvppfE2fLQnLnVL5kwoUjXdoVTb8QlB3o6+QBcHAcvLxxPeQJfyroBcbbe4tL6q/F -14TL/iEXQgHdSCuIL11GpeuD2BoaDeRcsvx6yYdKEnNIpgxrFkbIartBucua1A1j2eGEsGbb/hyc -nzT8TjxKgqwePJxGFbPlgDhIIyu+hwd9IuNNJRv/OvotDgGzZLY8H6XFoRhavS4p1tHHEYrV0qvk -bX4D8WOnDb8LXIB5BRttG+neSJJRNSTf85t6IhD6FZq7A0auLKe/SwCgz/WGu09ALcGQTyqpBJ+E -MOzJ7vY6kipvc+Ouwh6CR26AHRg3K48h/OQ6PmS3C5Iges30O+Wg3d5ReWmyrNtprnkC7miBHRKI -hhquDgYk9tsYUZoE1jrbpjdbaCSMWQdKDkTLwNKK5qc+H3cTYqkXB69s/Bcqxy7AVmcrpebpsOF4 -BplGjjWP2ojSI4zU/oEOayTktNtBC4YkrBVTXwb+mHVfu1+b7wNb1dWf697RVMvPuQ74gXNcCV+w -s3bMIUy+hPVd4pie6YN1tA+5JmP6Mn9HcAkzeqor2OQGw+Khfae0yG/S8VoUeGMt4v481GNnytPj -0XWxeqif4ojd4o0SNYSoGptqhR3A715POj6bnG9eZPfKcwtqv0DhzyZvSK65iX/0aOQ/C0Hc0GsV -Hrz4DICB7fYroSxhQsWu083KUJAikCMuPRAo/SpIsI/QUZY5jlfYb3HCwc2pjQ++Og47sEfkccK9 -BxGgNbsSz3A+mWnCtcSBZC4VW2BfUfe7v/KcpaEFrkDoJeqnNkQJJmeCCEkifubXpI2lMTps3v+U -0/lu0SmoNtrx3PdnNwXTn1fkD9lO9Pt39lqaNGavLxSP9XQQltYqGrigiTpHiqgW/2u46K7NzlTX -xx0CPRJJiItXo3dUxaZL3I+YWLelZF6djpLiXBMPvUyCfPFCkROVGveNkJDV++PfOvoQ5GW2Q6iV -zzKTQmhLfo5+B/Cvpp/CEzruByPLSEit/FS68MrYN6yIXVan0Iryb7RHzbHEpmUVkljzW5+PdmEA -xqPqyrh5+6oWKgg6R5i1CctEtF6cDSup4oVJ35Jxw8SaRO0e2uGz6jOnI/2w0t3SnpGa67wAqSeC -UqpSZ5aTbwJbMbIBL+XNav57SFeT/QIRtjbCF8/r9UBj9nip9rNDlhngUBPcpgDTILkIwqbBElLY -NMsV4/iVGm403JhGOzhUTX1iX0FruQ0pjj5wQjL0vOsDyj4YFKWmCK2VxVnahn5bpwENlYWtUXCq -K60ufntTR6eSjMt/MsneRj+57tJkUMnNsDlULlJxVPMtaYKfXsxKfroJTqKYd0Vni/CY20dcvo5+ -OkMWsfbA45E4t1Jh+BZCnIjwMgNDuqr5k2zGi67KB1U5MO3ztNYAqwuxs3Quc4S+owkxXoJCB2ek -s23s4G2GQpftTmB/69A5wb1oNiiIYkydwQztvaq3DCtsq1TvLQTwDPUwRLee/jSLYzYbR0TbXQbJ -ro+YXQksCFWO4UVJ4VvcklUfILBw8ynGQdZe5WbYhcKEl/fM3C5+lXxXavzZCvxUvIGISMW5XjX5 -8AT7bdKVCSxG4sskgCV6uBIvdYtWwyUxabWV/rzeTDZm1/x6ufE9eJbTeVfEh0G5nFIgLcAsgbgh -Fh2iHpeX8E0evXEanB/AIncGjAhiSF/zsY9kuyirwhM7OiqpJ6MnSAvsHPJKFm9gJz48IEjvYz7c -sBIEYmv81VmhnVrWAKC12UlJKRRnXvyqEVDGb6hZJ7CV54197FbPjv+wZPNYENGN4xDhaP0jSqL4 -YNI92oxToDkUEdDLIownV0pK0KDNogedfTQ6G7Yn7ycLbYRgG7VTEOTJwMbqT6wtO2J/DooZKwP3 -56m9NQzd0ec9lq0kKkd1LJhE4UxEV8cXqiopqg2I+w6dal2jErJu672r9udCbqHOnKobDA6NfZac -kaKlqElp5w18rSGn1WH7bZfnHOlbgCk8IA0TTf1/ozS73Q/8TJu362Tv4Aoi2whQd5D5/D3SvUoo -tmwOoqrt++NclzwioHhBCSZaI6ThaNRsWViVDJLdAPXanof9HAVMit3nE9EYuyw6KJoVM7hBfOuw -pPxx7CO6Yj7jUqKGtw6TNdx2HfXXSwEh0uzWjZtkfJ8Lwv50H+I1QGP4a/tIFjq+4/tY5X3qmDy4 -gMY8z6reS8uU+WNSAV1oqV+cF+LraT4N+RDmw13v6uCjug3Ni3w+6vwfuAq/fmxlDnLcOzH5SHAh -IFSPxZyUupxM7p8Ri1pNYaDVEGXnAyh4ouWmthg8X7YGlB1ijAYiku7sA2g256KxD1ohcTxH0Tvf -UcE5eWTNh4BOOweoMPpy0wcRD6R1WIU4Am2UhZ30VwP+DxrxOcuY7q7A+Vpdc9jKF3MXO/w0kh/1 -w+T3EPgJ2XJimghSX9Jn2fokwWKt4o1IAIm0syyHVHda3oAWODMdD8Nhem6yf6qmqFX+7wyAgYyg -DIBZpcdxvIopUPTZrRaQWHMOXipwkCY1//b2+Tb4VmKhwFqT3NdzEQd8jncEyf5B2lOiXSoTiUCg -L1jdsliQ7v5nEmb0SknOWcemFfTdgS7VcP4oKfRXoncW/ezb9Qm6icS6+iQpnETwAo+VOTORwPCT -JN6os+fMJ0OGxLKdeuv9as3DrAcrGjgI18g2TPmPKKlEhNyjvz8CHLWJbkEBiLZw2rwdOdGZ+aPL -uLbFb6GTxOKGHGkghqUL3v7yVgz0htj7PCHM7Gm7L105Vmx4dmD7QZg0Be4sAoe33VFdWjIEAGdO -yvqu4fjwT66Fi8WSQnWv0bUNyldymTdS4OITugXr8XSpnLIa3rs8iLUAmgZkf/LhP7jV5Pd8V2RK -MDdIX4qDNvH8ZIqPFEFGohNoTo0508INgUa6iz6+4v6ZtY8sW89yPTLFQdd9EKzme+WxAMNLrhI3 -3s33IHkeL1XtcdE6kWg2JhjvGqHV2opbqlOn9leBqT1Hzs5r6Veudjb+J6DyF+rvOAcjWf9bmsVq -3n7VFp5gneuKp42JOLJaksTGJaTeXKKQjBlQGp9rIFRkVLeVepX9oPVZff9K/toerET9vqZGJKqc -daayx8Ft03b9bGRYtWcDUmXrHHpQ5c2VWorUc4Ujxf2Xva6/s+N9s/YNBNOC/E/rlJ6HKLPN2ihp -sTbKeL2yiNEypHG1pTzQqRW+fQVVAesvGmcUITSM942cgE0RTRtPoBRlOfCHSBizLcwiFBLHSdZR -g9K+vT8LvdrY1nfCFsVbBMDCiHDnB/T6m68taOZANHNPKwSy7+w5Zj5T/m7sYqRWFsbobsnqfnQ9 -T8LvI82/svuY9yjGnwKesrIBtFyNQAUR7OFHqb8msLXWd4IGyGEICKOw1sgVO7u37uCXniP1xSBC -TWRku3Z7uA/8Zs/zn/YARE8onILeG85YMKUujhvtyw0YiC2Xn9LrXAewIGdkTvmSpPMrc2W+uv+N -loIr6x4JEYB2yrlxUBRAOfFqTPYj9QEjAJFD64m8UXn8XvdaklDybfWYtVJEXOPkvpKR6/7meywq -GqrctBvtNyOg9F+R8slsrbPpU22b6KjSwBeFbHQprLcOnWbW1EcNgqf9XYVlx50MnEoxFDzskxkN -o4ClHg4Vna9Ky5o/+PV3SlSjvIrIucoFDmj7lEc2+ZsySdEuZ34N85XiMUpRiAUXiypCqseyUJUG -77gzwjV7BG0mojie5w6hRi8MKyPV+oBOzKRB5wQLfm5xm5tYW0EpHhckERE5H2sgX/+t6TsZH+x3 -2cSTgM6Zd+eke3l8VNlNg33x34ZO77oG/wCjzlP4BnxpIeJSPcnsn97CwsZKWCrTzkG4O6YWcZZn -daAN+cUtYcnT9jhX/hatMusIi3lhnz8AlVpQd4rgWbulG+pL/kPeZBS1gJD2zrpMQinZYMYk1RWB -Xo0VGhWS/TnaiJB4Cl0omE4ibsLy2ShXU49WGt/IZzdKgSwNQYN65jiyboU91ftfwgnfckg6J6cZ -SakCaQ3sBl46P6oUHpZczbOLGGHrfhUmGqEIbftzFUzVN4jt4BYHeppd5k0Iv83UJ5k/Z9Zzo8F2 -c1KU1rjZsCjKkScIY2TmujuvVqV/xoPp9+3v3psE+pVRVhIOdlB3/0d02SVfwaj9qAQwPGKto4rp -uYPu7AgKERd013gDNruBmV1bcqZc2fsvB9r+noUHvir4d/FhA7/iyTyPkyS3bPcU4eUVC6ldI/9o -cU6h+/LkzwLDwyeVzhFN98TEzglkduzNR+NUxBzr9gNM17jmbE+3u9LoQ/1RrDjy6WLS+f3+BDRK -PNz7slsJmis+TPIa6lMYBnNwoWKyvNTx2VWgvlMHAL7NUovJXjPe1D5ZWKilUle8ybz4puHCidNT -mmS0rJYUbs32SNXPdsHA+pycgXtA8/cBe7lC/lVZ4VK8TWcaiiM+WTyNlfo1I/Ro4hes2QupAASc -3WhD6x62K0xyridhFaPWm4gOrk4F46HK4B3BVGSoIFMUDHiiLQ2muXi4fsgvargsP5QRPt3ICyG/ -wLHVLa5Sz3xGQNjB/oLpfIS6U2+XjujeygYIHTTTxo2ghf6TnoMUT8CC+aKha1nKzL8aVzJFk3kp -CnJfzTlj2QNif5HPdx+L3fPnWtRXj+jmwTf5i1/wPMmhcgAETDbqKYrUdPOGQz0LwTXEv7NNP+g2 -G8itT2q3G78B/XMgDdKsH4CTKgVoutjMV6bi8Sm43EEirL82HiHXuQS3j2XoE+FfF15BOcDsE+NR -c6gVFh8ryo0QSbQOU7zn/H8aSWJ6lCjl+XeCQ2BpWVnL/P0ymniy5rzyKSRtMPaLg+7dtts7/edh -iQYWjqBZE6MMCRdMV1iolt52xyHynxmvQj0V4ajiBp/PheUE3/0IKdipOnI4842umSMFki+TXibq -TVO1H5zrH71U3UvTRT5JCbUdCFEvq90kDuLn9LTMPLvgMLaI1eEMBO8in/q3Tysaq1+bVwXcCJKn -9d+U7JdvQgPjON4eF0xG3UF8jpUCjagat2PIXq9iHSDje0q2tQrL2bNsyZeqe9WvWgowcCZWG2Z2 -qoIhBnshCTRJOftTaunt7/TbMh71xKSqP2ZIgzbNDI99ppSYLyPyu6S9PexDWel9PTfQ5OGKVCeC -CfCIAjpzXVsyb1QMYl2H0H5FWbHCrmr2weWBz0fAJgKEZwI8mQRfbb0kQcES1SHHAn4HPZZrLrng -1aRcnNKQDFpvgl2Jyh4zfxhp31VyloWjMElVrAoIIYUR5wF/Kf+VzvCkKD3b7UwTfnp4cJwx0Jr5 -rYQWjrYVYNewR0RERxx1KK99Mcip3qgIfrK1SnU63R80E5LlQABAanlQYL19YhYSFPp2gjp5dlnb -81N/u5hIkDM0fSFQiJFt2FdiNcFQbEvgjijpiF3haHELDudiX5sBTwaYMGWoCZaXGHPgS9IZ4Mt1 -BmlxvmgLlprvbAOYtmx/OB5l41tXaCi6VeA3THnOSy0BWDnKMEfzr9+XB5i3DhZfpnIqPSF+uHMk -7JAC2gf+FtMQXGecU7YKITdJ2v+3ZXBEraHlibGJngyLdKbh5f46KU6e4ny+ylxjoFcw1UZskEAg -4j5YSZ5NbpHEv/q//FuGVOeYVl9T7gm+NB93Hi+Mrnuxg7Ckz7rpEFmqAsOJ00SST3OuuhGa/fbb -IK/CX1iDgew4LSGUdIfw9M44jqZrfq5b3YU8zKIW8icWocnq5DL77xGD/gJws+VgRVa8dhnFqxZK -0ZS8r0A6Eo58szZC2179GKdgvIb3ULMwExFX4V8b+m0BWq50kiZ/sH8+yyiSyoqmEsceLzSv2STk -HDnLcm8vNbm/pk4rTDx7Ia9RZ8zR5RS8lP47p5YluNUliC9r7sw0RaABVI/PvKKH4V9kE2Suh+QB -xg+dze79MFQaZXJSr3C8tEPJ5yyyUw6ka7dcuu5IEPlbHH8wCdmnPPUQuv38HqxwWznLLhSCYD4N -YyB9AhlrV2mT4M8OIz7S8WrJXtDfiYszEcurX8kBrE2nrg4zE/WgoZJ9kpEkYueXhinJvr4gAdcU -fyP/sBvI+tNCFaLAlzlH5NhWEl8eGNjtb2s19hc6pIn59f2R6f0CeRA4mAkdMe+pKUyUBsWhh42P -dfzFknt8HhXaFpnzVqCUta/+fkIB7YlZGvwIeaWmNbKR1AwEAwiJa9MB5hY7n9Dwkn2mMNNpodBj -hkETcdOMh7RJKVU+fxYmjDNEQgKeXsLdctRhnP6LHcdHlKr3WZ81Gy0FC+WlQbST54kYjUZIiGzL -C1DIt3ylHdoAmX2Xqs6hTSRuWv5Zno+0hTy34XmahhimFV9FZsgGQkoDhWnJaA+gAnOpys1SGn+4 -k17V5QMYNzFHJftPlnVL43QdLKfiQb7BBr2nXR94gQYtVxKag+r5a3G9XJ35QUSbSmnYWH2Uz8qi -7854yYBrmVFq9gGtJS5GOQ1KbNwR1AAzk+M/hZMWEkOxa3QDUjacnZW0ripXB/7SPu+hN5V6liCO -J8QexeZMXO2/ige7+NOOZALQTlvvbXPfVcvitnJ77whIqbXksqEmh6dFrajsG5/UtCJ/+r8wm9nE -MESLasdvzocESDjInZdQ5pkx7Z37BREE27e78qTMq3cofUbnuKN+rsNvhHzbuAD6vpkcXm7qqcKx -nXWVrKo1iUhb1mPm7TbfYHgB4ZUovmYBQm+E27d/Wq7BORmijujSH36X9Kriwg+UI26rgO/6g2X4 -AcOvUg9tjy65+8thDijAecT31eDP3pMK8JgJb2K1lZRK0GFzkmkqlcf6wIQnBqLi92TANbUlnusm -dTbIsMT3ba5aGKXSj0v835y91HQkMmxf4WaRPYExDGJzk+Q+FGlzCh966AEXuleXVG10PtXkQvdy -kYTaCTKvnhgn52UYZGCoMioWidy3+bXR4f1erSvAhUFDnfI/An1u4ugg5GrqwRuibZNd3f6IRiIO -ImI6/mJmEd3YaejTbbKhH3CSMc8nN2CfF9Yg51RD7Vxw80K4OI0oIzwk3ZR9DU5Z1WQPTtNDJFf+ -HszE9NQDimhv6PQ/Dq/nO2FFmq4Z/xdY1a7nSZ0cP1jZ/+yeHdSUq64La1r44Gs1Q5C821kcaGJ/ -4z33LWcCktYemab5n/nEwSlIaBY/xERUEtdUtMxO8w/SmRHSfFf3065dA465EOjvRndV/zRriWQM -27JEWvZsckcmFPu7Utlb7YrqKvVGj2gdYPOABB6f6hCJy/pIc3VpCDRc02wBUyvqAqdtHLsnEXyE -DksepzWSjVZcctLzjZ1PmAx4tB2o8J3JLXGYvxsHkq84F1vw6QTTQ6b5TpklwPpiVTxjy/MfiqtD -Je/yig9ZbTqcVLt17jvPmK+DHE2aFSYeIAK1kd+F5yNYYy5K6pfKhjOsD3AOUHakEh2o32nEq9fk -12oxXfTvJDHCucUKzMjn+zLFXaQp6LB6UZXY8rm5ZLcCIDGaTZFbmBOLbTKKROxeho7TGULrcCpc -0Afe2R2UbJflGC5qZHcXs5LzhhA28tnKnyxWGaNwe5hsG9hVEJ5J1OQAQ/nw/65mSl1xcPqbnF6K -6+i7mBMi83KhtE6k+PlW098bHtjHHEJj/hXC9sfFPxpR3uJrbkRL1BLDM+wMTsIbFwkUhk57RlgK -+m0a9Eg5X5e4eOvzWujbZvajqq6V0Pi4EltBE9XH5IQyYGxBNx/ZLPJLDZG13c0GhbzSVgIDLZJy -S207VuYxpN2vXlfcgzW5w8noNJ5v6Qq9crRCIPhZjH/IBhECBMlYZ8Nh01ClEqzFfvVs/4F4kfWV -lx7vt2JqyUNVQydjjkn6/IlzGRWwI+m+R793l/FEot6+4ovae2bcfaSOzjNMaZnXNsh7bHj1PvAL -GLrfVVaV5n7zmokxaV6w1MwBTkY1jkiPTgHJG0pSZvqyV+rEuaR4Gv+FqtqouXGponElG4Kp7vTs -ytdslr8I7C/q+OQ7LJcxIH/3CiOq4Vq2yhiqSBy24iDswl7Obj5UF6RAC+oQ+UQSGgoR2aRV4bDX -KzOks4sLHWaL+t1iEDcBiwji1GXuY/8/xm4aYIownSxb9neXIuMpNLRm0D0EZYyf2m7Ybqm5Ykci -9ozhIEMJRSvqpHlvsSJwx9V3QhqEUp/ZnCp8SmWPTqPFZIShaE3DGexdsQt01YdeI2xW2CrZcDe3 -KOdbchBqXAM+jrqJ9DHJGW5BCwPZ6iQ3XyHbZDjGJWXMBGz0+gHC7GSqhP2YiG9b4dROWz8j6m3r -3NDQELl3Dzy/TVIYfaWTRss8B+Q3DLyaE7dkq0UE+j5q2teBpD27G4CdJNeyx3CTRUH9spUVzLa+ -O+JhEDK+1o4EVX3biCazocHLlYUndtwaOoj5o6ZMddp1lnFcEn9b4Pv25Sb1FsFg/w+KflT/JwPU -lVAMtVxILDWEhfXMun3u8/wyAT2pH0sEsJ1WTtk3YyUQJ8cxt3YYGEGQLOMTC8meRLIIG1IPbb7I -tPdF+MPaHUrUG3ph5EKE6Y909wcR+uxd0aI9oOxayj+UQFhnZR2NxcQ/0Z6F+NSBUsep1COD01Qf -aueEQXD1NTdvZM+oqE6LmSl6vl76fmw8NvXsatHHZFv/Y/VM51QomQc/ytgu3tpCfWP0B1KdNEwk -ssKF+fQcWY7cG6nWdA4GFXmOKYfrXnUbamhUgE1HTlZrZK/LxsCE/7qNj0xUlyKccx76PGSlamrn -sV5SB3wOa9LCoIdXTxo5tevwwCt6O0XjyPasL1xnjE7KE7/mgqkJn3x2nu6ys30a9JD0KZwtKQsV -pwXcIN7OpF132zrWl1V9bEsyjZNgCXgRHPCc7Oz2A2XTGQxtTpszCh4I8kpmsVKsdbw4fZ6OVY1S -L7atuir9ojy2B/LQfXW1pas0Xo+KJZgfJxbYZYif30OwwsSUhdTigbZ1FrwnFxoClSk89i29vlUu -uZoWUTxgR4MU+KwyAI3utGBMYhgTZcLC3QcsZoXO+IF16JT1v4WhsICbKAN1iERf+1GOvM0we7HG -niWa38PT/GaObqIIxNv+FDP5UypnSPaY071JTmpll6pa3ec3f9E0gAUwoMPtpoidynMTGSXm7wWv -z6a2LRkXTYJVZ+N9YZN5HDIAnqSbt1CQhuvr2ZlyyH8Xc3E6nFbcoWyi8jGWgujik0a6WTzlAIMw -R+p8cmFRt1lSg0kznRh3fk+UnLKYSXnd0Ud9k/6z7gbzTnL7fkEPfCo/Zh76UcBwx1dr39zPYuQY -RGyer6jgQabm/SO0vOL3ShwSok6A4kcmYBdkIqrdeDVItFAYcGB+ubZhOrqi1Fo3Y4tzmESv98EK -BUp0HW5NF0y4CD8nU31XtXNDnlSjJdpAjZsXrEAqzvgmsokA37ZVtnPfxyZ8ZwE/+qmZYi/ldlp/ -qYyJiUrSx5vB79nfY3XKWhQE+wC0281WHP62z5nvCfqmBP+tFVsZRe8704y6st4cFUUfgqzPdMTk -XGkTykEMjLhgprj9AkCwgwoaHqo++09uvq234o8s4d9cg4OtqcxoHlOw4+0oJZrypCS4G18drse3 -HaxLPIBpBY6Z4yLWfhqEgFry4I6/A3eJWz5vMBufVWZrl14mAZyW2uEAR2S2vvx9y1ehYOseLU7L -Oy/QiJv4yWflY+e9TgRbaqB/0fWY+En1B7Xvitp+QY+UF4Bi5hayrWkq0Srli7jX1Nij04+R/HhM -lPby11vQ+XAr7MgeJc8icCapWgyvZ0Peg8mlIJvorCyTL8wKskexnkn3zYqp9U3x4h8QAZ3hm1Pu -jr5ZkKYIpbMehS96n6RON+jOCcPNrOnOu1jOaDW7WI5e7le+XcGw9tV3ByIppF3b8ypaWYj+1Mf6 -jBuNmPiTi6FUJ+/BUSTZM/KrcH/bi3u37/tgU7B0qmGY65JOjrGOBOTNLlmO25dNY2/9pcWN8Iu9 -vjj3MROyCr8bZR9ylhhgXpsgEaV0YZdw8HO56derNP4ZQLoV9du6oLGeYJG99Mvhx/5IpdP7Viij -TsyvDdOG93KGAexn3Mc1ydWpVvDIUJj5Y23pyAL0PWS8mwXSSpUdksYwQFIcD3iPG33QRSvAeozo -Lq726pla5J4lqhSbhTkjVOH51rNnQvo26dDfBOG1xWFp5E6Em5Szl3Opo73JA0qO2d0UfKthE5Jf -wLsP1jt/Y6qhxL32VU0xFx8xC+1GJLNPJ6cOZDEfQLnhYY1CtRN9aNmg+DLOQUVESSQ6reMCf9Me -SiHQBxnPslQkbIq+Qf2nfBK/0BRN6biU2LBwuvDU32jKU9WH647zNR94DAHBlOMUbTfdEM5booSW -8ZGHGslV8ILpxDNKQ2vbMpA2Ea2j0QWNDxI0gBeXncM5gVz/XaxDYKhGsKpNNEeeRZCHHNaqHn67 -LBgRYAB7Y6mr62yKl3apdkVarUAMrZDmwzIgthy/2SGqoYoYqjRwecMIn21nAHiTfTCoKWVYMju6 -N0FMYOLDzgXs7ZjJklKxxPf2MfXLAlN8bj1T00A7d+cbjvXu3t79GKn6dJm1HJrH78ztldXv/bo7 -nKIp3UAtD9fgRuQJVb7Gj+6i0gssD5MGtJ6apir9Yl01q7T/ol7DZvzLltTxkuLtjIlt9r96qOdq -Bqbuj0W6RLfxb3/QulnOEpt0X/Ma1Fr0/N2gtynd7mji6JD3JXN0I6UpB76w30Eim2AlYt8T+vh3 -Wgf6VDetjozm0lxUduX8l5JVxfDKPmlb04X0MWJztNRKfdZ8WtifT/je1VD+8TjkPvS3ZMB0rleO -glqfp3SA8VnriamnZyuVByevv8f9CDcWVTbtBmazkeltNY8ieJshT7myTPTPnbmM0PEvF43K8sc6 -5G//nvE035jjCJpj34rhBJuVmhFtEjWchmcUlqlh3T9lxdAq0Hop7yEN0RZCM6+gChwYM+RCUIKq -5YNuEgySkWtDvu4y4kqpMFqNuVNomCSp4Vu7EvA6O0NCVY5kun+lK9pwMB8t34PQLSRxizfIiJ17 -wI7TU9Z6Eo/RAt7a6DAVvuFNEo6KT5LknagM2cyxAuIfej6LQMu/NIjrhmDneJNRftL0d/ijMknX -bvNIc0dgfA83a/aDENWemUznC2E/81MyC8lkLQuxooN/kbF598kHYYHNFfnwqHAWTyu6jVqmpqnl -cd7f9UB3qSouRgVADgYl4HWqEHFzOwyJelLTeVNtTQ43E1siGp/YBPO4Lo8/nakjmMZOMEgVyb1Q -3ZKxZ1QjTtjPwlQxrvUX3wWd3/wK9qVrhayP25GNY5b5mLR0kvDwB2/7X63S44HRdbprJJA4rpJR -dTqD3eynr6X6NHZ4i+FhINVjWqYN/+JW5snSeAoLWOIqCfiOkjTSyrq7KLOp+cFu4vu60cd4Qy9a -hYYRtzZPYXv5O9tRMaJ5eHHzYdCk35vYdCPUYsBNiUClilfx6z5WF1oEIU7L+XBbBF3wXsVRRHga -Pox8ATUosqvU8wIM+zhWQwpWh1PeCLtEkIzkHTOy/9j9MG8453OBv5x9Co8Idg57HsZeSQIBOlwV -Es0Cn6meDWFy7B29oxNypznGHbY63xUNFklr7wK2mxJO9rF205HOjnZo7mMaEnL4dSEpsoUXYJ7Q -5SU5cUDh8sqwnUIIKtbcF2j8N4gJKJMI41d3hnLA4lkh1B6oCyuzvwuEQWwtHONkUhE92kCqogkZ -KvUs+wOJC+mciuR63pw+/D1IYBX4n56cVjrG+lUa8DCpBOwnRfiu+SY4hpZYxVpt57iBSYn+J3AT -vL/9E1CM9TkQGAiQJZhletrK8FCmwYXhODTJBcIFT2AzPSVnXH2KcpmlC7qxsQkTSRTTDUKympgr -aeXV/gFsnORU8mIuYXEcIVKXOFq6khF92+qKgRrwfOR6gwkE/Ju1+KjztWMXzejmOWjpeTXg5PJh -mYRD5mbnnbXBNEhd57iVqi7LXXZdTarYIBc5gZGbPZboYYslsyKkvzwarybMcX/oL1hcFeSySBqR -bQhw51j+b/VyeMNEu6ApGUh+x2QLmQSGUKFJmzO2m0ZadVK8djj/vue8Pwf7xfOOgnHqHoIuCzc/ -c29nlyEOUX10WAsvBzsMevnZU7zCr+wmx4rRt3azTT0W5yNDbMzwEIVePbmkqca18CaVPzu34N2m -OEw4lBUUTqi6z50WhvIKYGa5kba2/jQ+qYzZsYYFlXZv+AySuAXMrumYfWntZfPTEi39uuwrdCfM -n3XDq+oGk8OokGgw0miXSaTHedbqebIkQnBSpBbFYLegrjZw9j1p0/c0ph65bLXzpOcQNTTce+nN -YYsYlOY/ZGhR4+7oDedPWfRLCMzZXd40YDAw8fxYpjdEnAehC9zMvz3mgiyqTmQz6Ld9ntWqqTzp -xNKfrWdSfR+ii3Uh8ntK7P0qafIYq62GDBSMMhZmdPpN57zV7kFw/17Ov06kzbON6h4vl0fL93eB -s4qZarEnzyAjHsGEqdJn6cvHKDmmkaKnawoidblODuGprb4gxJ50nq01FAnVXjYvJTRPX4zHhSDN -lqCNY/+4nNHRmoCMwjHx8VKsBsCay73i+Dao74bV0tJGZrqDZ/fIC3iUNTGg3Qu5GjxNSXTIrho5 -3dvjYoXi/MmI4iAJmyjeIw/ZyUIp6Ebbu8K/lHPYE1ZjX9U58MixnGOSo5pwrS8L8e6GZK95vLyv -28fbfPZweBsufd8t4eq1WKWgjKELJo6t2GETUHxjN6A5QN/agyopsKbP8YRD7h2ccqGKeFmMcqip -UgaitlBkr0xOtC3iLbN6o7ur83SjmipKRy9EqST+ZWNQpWWG//B8Ks5knv2LlN2s0dxqneY2CXdl -E2bD8RyBdDp2ERezvCPrSLePTYPj1Po3Svo6+lCfCguNPWOANVfcNHEIH1AMq6Paca/vKLyqiNb4 -i0ZcrCm4Hg9QJ+YdTQoqGxcdpk3PCKNrls9O09ptNr5WWKj4FRUhuORHIvcKylxI8GaOufZHzX4k -ztqz9QfeNOis0VHuZdXNZ+/Aq+EZhDrb9vPpVmYYeKpDwWThtdhlQQ+yriMMqYvQ50K566O6pN+p -ClIuPJfb99u5UHw8XZxXO4HQpbNe/qCWiqny4YAJHpvSzMcWQDE1FlBeqSlBk6uRC7eTsCwUsvv2 -Q57BJgcWaSLPYTAq3Dq0TYHrMW0jrOHf7TfR95Ism+IakK7WhEW4yo0n7bFhwdvUeS6a77rDuY0C -cY74GvPp6DspaSNDHwQQeb/wQMQWAeRKCSdivOXjnUzeYiWFUIGRhxZg3Im8usyoJU/rf9+wEYpZ -rchMileApxi066zel1Lzsx86X911g11CDH8ai5QouBvJ8HDG05+TWPGbmp1Ha2Ftb+Lh92DcyFVb -+VEQOVnBJHZn7EvfgXPer7TPqtqu03td1Wn9VMwAa69BFmY71Tb09U6Bowerai0KldOtlEzx2kO8 -E6cADmqDObID9Q4MeIaDku3iKNf1g5zDrVIbftDyVOTmqmPzn0TgBu8pmtNE/FYZkZDqSD/nUKcr -AqcVeBtM+nDTOMeIHM+bfJD0uv4h/wCz4SmHxWe/fkcARgpw2Ijwn9uLdx3u0UqygID+Oz1URZ22 -uefC7ifjLMq7xIh05aIvp485HAHpSIF3WyQfVYFB0JlJCM6gE/m3gAkm/4HdehkqvDd3co1PX9hO -C7yo9zgLRGaW6lBUoTlZnwl+ktbYhN4O1NVTW5TiqoOoXEGsTOUQis8onlm7ZrXVWS+v4vcEgbEr -tMYuLzmZYur/KDrYxTsoctYIA7HfyNKjgUmtLs9V2OnNrFYOWMN9tdJXEnWhlIrDkIkcncv587bb -tlBG3SoUuhU5itPlbxaREceXHob6fEqNUA4SzG82QbS3YZi3K3fjHXsyLdOxvLgerBbteR4N3X/D -UuzzfH2wOIwEyQVFtzUjaoC5VDc48IPDS/dUj2eKmw4GCWzPRskGfCP2GIyEleBZqj1N9/S+Xhe+ -AU1TiGsoqSJQ6IOoLyzjA4hBGoGvCPmLrc3hxqfBUtcr0VTkOdEg29Aw/bIWxGNcbr+IAHY7bJHf -OKuEMmQXYbLh2jz9hDUd76y0Uhd0tVNlT40/+7RPpYV9UGw9fgdFrsNG3dqQSeAkFxJBPoRtMh+D -TA3bYEKV2jHJwpMtf8TKQFKlA5dAYrRdNVWGf6zZNMH4VNoWZxLdYSYNazQ3nOp1XqzG6mVLDNBb -UOpFUu4aun8KubDqcGQQP0mCVUugk5jc+FA8WHc/Lm7wmhNowCI/F0lpuN+n8Bna2IgDd2NarK9Q -dloL6ib3pMHQZShfpLEw5D61d7fDIaxL1+bNZDlalUadDmC4Z6O7Tqe92cJBsBrqBoDXPkXg7LpN -gG+n3njQv9W1FCQB9gGidxA5fVxHP3a5p7CXKdlaTxb2+7LY1YLA5g7W3qcMlWTe+gTwnCL2Fuoy -pwdXFi3LIYKrXYPndX8TSKgtGit4hF1tUrCQQuXKkFv0zAnorarNV30LybgJRHGbKGtjfxvhV61t -2ceMo1y18+gIbtatBKCWss4h6BZqidLRTWR+DSA9UvXmHmWopiLQzZaql9p83hAZ8yY5TefP/prj -QsdQtDH67V6KpDx8pEhf397MXFXHe33oULjLn1Re7We3pm3YbTh2uk2nyWQLjni67thK5UTuSISI -HCfdiXHPR+F04uWskJJLDEhuObWId5WG5fkTgSwOrwW2B1l+KGEqmsf4gs+ORzZeNsGcB1E1PAbO -x8v3hj7JIutL2SLvUNj+SgyvtUpwmYTdFERmnwg+qUzSl5g52890BrhRZK2/0e2WYNNZQJ07BZBs -0B/A0yyVAtSBMIHMYzz3iwfamDYXpUzcf70rvk6u/mUFrQUKhpFwI+XH1e+HkjhprS0PxAvhKkMN -acFPEj+dSvv1QMByOrUwGwvbGIciWautsgT/p9RGC7iqOeej74xSm9qhdT+Q8uXfJ4RWLT6Qf0pw -6rBJxwHz4Ca8yANRj45xxa3b1ULfQmWgg7hIFaOZPnzMpazPJiltbmSfoKN0KvLkj/ySagIBRHGN -3nA+GGOQJmqY1ECg+a/8rTh+M6g3xXolg3brWEF6en6qNR/cwXLhDMgAqsbRviGQbz8bgZo4iMPg -1cc992albufgWilhbFLaUYY+85T4P9SbmHVxwDFj+Y4M9wXr9355zggjlBkbBNftN7NTJMISRYDC -I5KgTM1AduT+XNme3gbT7adrRtq2O8TCKBRJZHulSdSh15aWJ1uasKr5UL9vqrOdOLrj3StptNVr -lUoHpXOTHRZzAuemFLS8MDJUT6zTS0sWOA9RZU5xXNGsNfGMN8o5Z0Ey+N9YQyM04v3CJmMouZs4 -766HtcpjwfAP59d4uW+FzvS1ws6FaoThabKfHoR+FlNxrhqOXLMW2Rec1Ll62tlGblw9IuJWke4a -NabyFilatARnszPMhAJXWifMNhdg8olxKgqGuI33RXdxR4uCLiEs0xmEPHaMOV2gFIYwu9LjiSCx -ExQ3wSZ9BHMjo6lD4iAwJvmdxvqLsDDZ3xQuarwJ43QCAHdfnEJWQzc1b5jRODPorzPHBWRV5fiF -XHgnZKdTwjVfhuetTPkqQtxvbkLJOfem0+VKVMn5/DO1k9EmekkxlDibq07uoV+xylNAFDt95Xwl -Z1oGI/4W5ZzO/WiTpjG3xJVShznStGAt3TAMTpOFzz4C9xcKutY6wgl2dnnXWe2MqIE24cli2Isv -699J56VAuwEMDJKWQ57eZ0oVv0SmwKURyhOwSKOy1daEi1uZofmkiFh6vD3Jk76u2SBhL4WGOxwa -E2+7YIckEHmvRbmgkjYN8+V8XvDijGRkerDWJjois33ln9XTRIePZOKYGsOhjiOZphW12hP4HVsQ -O6+2xcaR55kIw+kzMIV+L62VVZUjgfJgAP8n2VHxVg2bhp9V8N2x2zZheHZurvqXpeYfYy6B0SLC -WDyp11OBQe/2dxOUc1Y6jistUF4A20txAjUR+HWjDK+PjQDAqYJw+ZrqzkhcSQHjstx4BOlp5prX -m+A2jftI4Tvw6HXPKBBWWXa5qOrcWY34qlqlR7rdKhVVyDx+IfrBJZqaZ2CH+S/D2XI1UDOcD2SR -5lpLv8QijS7ec3WJb7XWr9B/0FR085/wb/PigCv+kvP/bptXaYDX/Q0lQsy3fAxfoTutdehABNlg -iPTwlAWOTzpH5xRft72my32dgLbY5ZqHRQoy8brKHmer8PunL9gpniVnn2OG66AgIhL2ckXF5xl8 -1MKlkjdA0VNH/JSiTjLA8LBQYw5Hs/UBt+ZP9rdMDOeN/f6JoLD0XyVNtQUSrSliIf2gtxd0Nyrz -IW7X7A0nz6A1sW3WO96FwOPG7VUO2LEBfSO8H7KDEigNQ7foegKpfuX0eN4LmrjX1pG2iySoks7S -11vZ0T0lCeBqY1Fs6zYQzy/wuiyRknMB8aLl0Juoh0bYHibrDtwH7GGOkm4exBAjBHqWZCC5ardc -HT36ytyiZBznImMzEYPVv2HhhG3bWIsSRF8omjkoRcJRC5w2zlVfiKPPwDSGQtSJIKvZ0o5ssU2t -9o8Kfig/59+y0FqMYK6wLzC61duFFTackrAkrtVX7B9qyLqP6GrsyUM9pPqJsYRU4SWDbsyECDsN -bB+7QeQxdPHifkUF7kFCV2Tfqsaw0gBrovzS4LFKjYkRwEdqczazrQsxLI/0Op0zEgxFYv1mgS6m -wqQKB/6lxJ/3eS/OKQ68urR3mmaHoaBSqfJKgz08TDttx6ls1gcKY3axXEiZQ6EW/fu83trofroK -NCATC8cem6ynZWBX7sFy7YDyCCM0xTYSa1Ngv42McO9A8viSG1shN799sdQGKlPXSMOokbzHuZ78 -4H0Dqh4ajhM0ajudmM8zrjg4OedgnTBbEEyEBfRwrXxsqMpswuppROo4YrAopZVd5ww2lzjTutli -/C8xO3CxnrFd/59j4zQHGuHBCGQGViUSktuEp8AZ3VUttmncIFwtNMYDq9EO7FLxyYLuUVPtX/Vb -o7CCsplDQgKxyC+liqba2wXPEcJ7kWmBpBMhcx42HbNev92TxfPXRnvAHDXhrHu53G8UaVqks3kS -ksP5Nody38EHU2s1rmXKCsWtbb//kr3Rd5MYv/0vkvWYTyunAE9hoSZG99XRxZM28/sCn3A2DLPT -D1Mi/BK5wvnvQUIp5LQT1nLSfOwsHi5gGU3HKldkqiaCGm9jNdaffnQKGXOg6bOJEHKvQNiYBw4v -6UiTaN5WL4YGPwX6mlihd6ZNNpEfTYurGdQ+3QPqWp/Y2cH6l/bFBwg8olXKiSOLhlOZr9ks7mlK -Q2SOqH0I85h0/G1ExBgY4rqMypBAk9+7L+tokiB3HxCvYGsW4ZFllJyiyzoiCF6FGclS+o4V7w02 -VBdzsehkZ2H25khbn7PpqAnpGFEQbZLC44QV16DrccGQFuJKBJU7LLJC4Pa7LPgjeFjXoWnfylbb -q3ErIB93Xbi8Yazjb7dhFeA2vSlqIqH/euRHavEwUhmzzGV1YJVu/mOGwnw5yYWsYSRdLvjt/qVh -3+gEfN8KdTTtU1/7+5juUZKr7xTIFL7QVbv9wOZH8o6w2rIEh4u7cHMocBU+UQ+D4MXCyn/qANFh -lHrHXj0s5RMNqfdywnaJ4D5P5REisRGzJWFHj8m1plPJZHHixz8QDV/7MC95IrWCkgTp9/2IjcQk -jGRwY7xmvlM7jMrttCdg1h8sBVcMBVMTawvS1wo2x9dTrLa5Q9diNN81RgBL9fACsQqDqN8i/8f8 -aShwsaCQMBQA6a51ufT3wIX7WYJKedwFcC2aH+/yZ6/0vhNVvk2+Ul7saDMZR5Ssom8MULZrEvxN -BqeC6fXHEGHkLbWUqDL9exT0V8wfQ+t1AQw1ztvV/osS3nLSClQuXYwTKGKqSEpqsDjS9du4mDYE -nwBl95ngstEVMNujReAPs0h//gXa7bcF+2QZrNTEBPLonfVGNMmT8L1PC43E1wyzTwmscKZ6NtJX -29CAskEPVuKtHkOvchw8o1YivdAxg+o9RN2ZmrDLrNugsO22vr72gcg0KasWSMwdwbCrbSuBk8Mp -SSCrXiIQ6yNu1EPVFjdy21k7X7eVaH00lYUF9/r59OB7WmgQkiVMZ4MPojT7BfdIVBLuORnDSbRp -XSToJpj1ziiMpckGv3GegVx8Mv7gXJUu9RfrMGwtYFsZYc4QGHtzL6mKIiq+86dfPZvNw7tyqMFd -73EzOzck7hyttLnycSffox07UGT4wKOlw3x1awhoxAbdRc5NRm9bTKFQIwfae0rWykjUNQreRz59 -4cwONEEcEEmxTK9xqHI3vep6B2+rQdUr3ygXLvkYLRlFfJR0zXfr1hx1XPz4Z/hK7L5XIXbdBy9u -E8BTYkRykoyadKApx8dgwPld6Ux7bNH2dZS80IICC+O6I0L07/AYIkfEu16wuUnOJhrjW+RyzbE9 -D3YNTustA0Lt9utdXu2n69R578j58QM+y9Qj6vGJk6mIMraITc+BJsmlxLjQpujv9t1ks89IIvrO -n1KoVKbsgpMHJaWmh6QjYze9tsyFyodJjp5zmCYOm3BN3c5eNywNjzVCjBl8cEZw6HsJB5CAoeAg -jSbR8Cum0kui5yz54qHP1XSj8xYm2HWHiEXhN/FwUC5HYrf4PFbqt8XVbipME6v5sx2Cgf8GuotS -fy4xHdWtBFkjh7+E+7f4JMHv8qgRym3xBZ1IbqYQiY/aOAnvkAHezKKKtke0fYjoCrp50oq4FcTL -p37C5q3ZevZFNgbaBlecfwIPQziMxFpKUr0o6TtrpOl4KTopt5CZmMoUlXOwa1WAzV14gQREAH0E -7p01VbAIm4WgYip4lYqYUeZ3mCJNjc/1BEqHp3RaxEIP7h1m94aBiqoVQfjZrWS4gqmrHuaSUBtN -I62DkAMNI41vXVrfEA3XoveQamK+N12y9un7OhxU+KeHFY2vKGwDgVs7gjUvxmXBQmssUGv7fA5n -NPL060FpojiopIT2n5lUChYjeoqLDOK3bUb2XwsNvEj3ekQ353zhFuMkly+vWc+DrmO/86jr4oe0 -KQ6t3gAfVYWs54zN/6BsCFrx5Yllho+D8wCBbH/4p4RHSBqGtRCcZutUuvRR+CPkMKhNgSl0Ojxw -GCIQWE1mLFmE8i5PKFepwYiIq0RiX2RIEsOsN13yufd3Lp+VQg9nHVvck7FyW1zMkf2bLEd+88m2 -CenCmlhY0VNvYA5KbaFUY4EuTXoXHgFu99RGSCKMtEKVeR+SgylqFRefPijJIiS6oxGGCSMzyvC1 -5pnVCdo3wEu57dX5h1wKgoTcShGbpEJu8V5iTPENl7Q0C5w6WvVnC+OqRJwhEkE6W/uiFVncd/iv -ER+vAz+4dLyjHvnNWdKZ7SJTCfSC9oBH8jeedzVvUySTYJ7ZLszUCgEB0aVxwxPpVhOlDL3bxXLU -SzufntqDAaH4WqbAHAkq8bzSl/+X2FoXYQ90W36WzsBnPghyfpcAl/ezXMM7+IZ2xYDLoHZRU9Hu -Bn+ZQpG4aioWR5Ul1OtLBOg5iZP70gQhSlJvB/T+xFl80u/mLnUz1Kmm0PGDzdq8p3NoII6TNQzJ -VG8jfU0TD6YJDx3ZIMn2j2m+vJGVEBmgEjpLOVx3LuzwALRMnvpjbXpou0S2raOEdJUwT/zETlIa -690D3+GY8torkN5UEZybJx+6hDimg8Qs8R9iP/yOTXjrJUvS70/7nnRzTKjVrYZDsFM7+GjL9M9O -99+KY8/SxP5nBHW4cJSQ4W0CBdZFz/BqvPESBmND4fRcJkT7VQGJFRJ24uNPbMuZq6zaSKe+DB5L -bkyZTUdOMz9fLuIfwwuzVLyvqUqgCzURWVxdsPoiLcwUs6Ym/A8hn964ni92QDNKsYOoQElUs6KZ -l0FxcFKhwiLNgsaExiF2l1SicIZN5wZGsu8S8fBY5gVhzBDnS1oeUhgY/L+yza9u/8ozi+UfDj5o -v0TkKEHp2Dq6YHt0VRKgYFRdXzUluIeDBN3+XOLzap8LXvm9mC+dy3G13ZnFJuwD2EaLxxnlX1Ir -DP2BjlEO7/jp9ZLbZrfubPo+JXzQ2Cdasjf8z+/wAPVcgenU/D6ZEI5nktZdarM3AIUULpU5q8zc -bMHDb4ikpYvD6fvJO0g//pK7M/D2B7BZjhRRqdp1BB+5SQntuFZi4FEFjNQvvjdwuUyORcRPYc1k -VkR2xJqakyjGSVv2cjsp3AsXHipLf1czIotTfFbY4RBjBDyUwiqhOUUzKWYmc29cU/1b2Ep++rJp -bp0tk313Z3LuSzyoPo/xCS5w2kOEGJmhSqc4uOmxqgnq3dEt7ZXO+TDMlRFhAhkIflbMf1+hr9Bk -+Ze+EvlDELLd3bcGVo+nd/yKjQMo8B4P6r8NmTtkgqOKlvA8Lp+2i672MB1uGEj1ZQJWowrQ7vQg -M0N7znu6ovX6RixtW31q6PDluCwiHlBKx0z+kSUpENCZzoHurR/ZssM/m631Qrl6VPMB0ugMEB+o -MxgZ/5KKnme8Ih/FiRVkrbUg92QJW2cJBrFaeIpviVObxi05qcTWdAtSRfWClqhHk+dgZPB+6wXG -zYBoaHm24S+uNYnC9azCKEiRCjFTL1odmZN4zQApZ7XF4/aUtk7R0Swtg83vc/t2x07oBQCknNkL -hNfZSPlVcY8LX/IhplHlpiIwC0SQ+ex4Qje54olM5e9CqQ4EM2rYxcrXklkqa6eqvurCoROtZ2wC -LSdra7AScqB87kMvxj2aWFWSfSWQhxVTStj2FLVmbgEr6/9rA0KJ8uCi8wmYLFk4fhiCGBqAKn+1 -Nm+pBXlDRvMChRZFmOesqY5S6za7TdI3Rm2h3d5iDvx+CxwHZxUXdUM7adtl5We3igbuTob7T5fx -y2FWsb3Pxre2x+yy2TDZr08khsLebROI26FZsi0K17geSEZqM8uWGb6PNVAV2f6wYOIRe1mqN9cp -ETaYtXcWPxSHdXiJvLZFitdA0lrQPZsDyS6MWVEOJRlLtE0dNbJ45yPaMX5kz7ONleuBN74xrTCJ -rVECO4LxeXhhGpc2gFqDexm1mzKq4TsF2QB6H+ui78XvM++sq7mlynnM+VU3PUtHJb/CiI6m5LnG -FWO9oDD9wh6E6eG/j1t+BWr7eaa8ErJHqF1RTwreVwzxmvMB7Rp55Tft8jLzX9S9BWgcIU69xCRa -YGma5Q0sqeqqc/EUe/pMOxhNYNjPaH223mzNYM1skvN2aXPEY81SACOLDPqeQCjpjuuRxTx5jFzn -Ytv0BED+8nIWGTIeMhn49NwA7tlPls6SRk9f/4u3tAmw4n3OW6XzqrZFowe23mgnLaVe1r3ZhiEK -lfWlfSZ0f2aPV/8hbEIpNYt5JYLIyx/G4oG7musrfuj2VRc7IHSejoHJmi+G4HA/yFpDCILUbc83 -+Kc/OKptReoAYVM53GZqc4X/TryDv8b+VaEMyE6pL8NtJi7J0dU/rFVENmpX69963OPQ55nTj+Ya -qF+jFlwf67vvkqKXUocVTC334AjyrsNjqMJGVW72HKNUXmUqiaURS8Vo61WfWlrXHWouju0W3BOo -Z71YyEWXvGjMHdUakW43Pfdqd0wx7sh3OJymwHKpt9KifLXpy11u7n40ak2PRWqqTYE1Pwt+C41B -raCq3wXEIceYx5F5vL0QQu4q1gsXfXxdH0Gxeqz0ykDV+d5coAd+lzRKY3QCvwWmk5RUjutH15B+ -Kei2+NDJHDHeS2ML+yuMIRm09XquOMTmQD5j36s2aYsK00+GIu0KelVyYYd6MUc9tUwmTbFg4tTa -PiztbYWTSuwD7wWIa/gN1VZXmTYD6rcb5Gedlrt7LYVehRHidd9eAC5yQX1sUNWXqGXyEThnd1Hx -/D1Xi/L1jgY69MdYSKD5jqgOsyO0CcfcqIYGCxBY2mCV3/kuBssv4x2K00ia2nIcKb8+UYxnLkml -WqgvVny3u1oLVzlRbKseDo+Jh0Dw5wz8xEQoXFDTnjjOr/b9L9X419FwRZJpciVihqhEjvyvgj5h -C/tyWfoEgI64gBOXSDeHKAGZ+hOaImXkZm5T35tahj3ToANgPVVkJfB36Nl+pbUUDtMI+uTadOB7 -54MgFSW4PcOFAyKFVPB4ICg6+/w4JsizwdqSVB9xkGlxk+flundRko2oMW6jVfDsek8udwB2biml -EEoJ0lG12WI9tQ1vGnnFv5FSQgkTLdDMplKAAyB2YWlRUAElPsDHPvQFFUpcDkPNn90pL2uoOKFE -0Y8RFYAYErM0ZDu+OF1jfmlTyPq3Xob91mInYB5qXJYWQqogXEFv60NNB10yJtiOW0nvg40X8MZ5 -y+3J6asbcYCGcWpmywfh5U11Cf2E3KBYE06Vd18SVV6lCOV9W54KSlUb67uwb+GwVVHa/bDG/5BK -8RITOFHccsmF97Y90Ox6pIytz6bPKrQ3ChmHITeZiAo+oeqDkPk0RCZDM/Sf0iYuzUP5F8VXp7RP -aaoc3gY0cOtDcxRumSmNyG/J3NZkux7kI2rW3KM0sG9Aa05bc63TWGTT+X54X6zYTntvT6y3k4KJ -DPfIU9zmjnGog4JNz3Jmol+1aOdMon89MRVq8Xk9OXRDW7g3+j5Om71SoXLooUfH/GztmS2G+/P5 -CdRwSc257gY0YYwkfKVPR5wfCoUAMWtW0Iz7AGNEemoQg15dO37k2iA6om89eYFI/8wgyWwaoeOX -WYREslxgvI6G1v+hRZ24mNh+d1eDxVhfiexUbBe7xC6QZ3eJ0DQYncgzBM/zskJkOzopd2Uc5car -Qkm0HYYovePL49b8cmpEf+xGRcgTO52kxuOyV7TemPKAmjNjIJ7kbBU2EZvugHEOyvnhnP8nm9Vu -2qARldbCYP21s8ZRIZ0AeSTyBZ6lT7u8Tny+sDSVn5Ix3FatIaPerk1yuEaXN9C3ii3Hvzn5JSTG -VVTTbuvj/t/crSRUveoU+bwG9YIZhOQ9SvppikWfWO/4N5rlb2XE8EwR3as8lqL5bcuF97foPMxD -6UFpWLGiVKdP8jS/NfOdoLuxLrUjNDB87IR7JEOQO6KYYE6dszDmb86esvMungfUOQTC7O0UqkwR -a8BQX2AYyw/tJpRZXpQ6CN0bgj5k/4XlkuJNiJR7gX8eOnHg+SGhR1lD+pu9b6PZCIOo45cGWf8A -AQteAnYkZGFiNa0cQGkZp9mIoaBFf+FH4H/AiPtWSrf5CrbYrfebjYjBS7hnJOWW2pRHfgOIWxee -i2cCRBScVq5pLjXkwy56Fcf4e7mmSdNLDT800mkOPhWmu/e5BRqIgM8KXHRTVft38M5yXPz3dg8h -8Q29AN+sqLwZiPv7gdTvoaMy3EJUtbHsKHLfHNBGgDSx/TRhV4JEulyWsAIsiNh5Q/q/o6o/cmJy -lfAMGj6fXCjNjPQD3MGryQsUCs56IgrCZCn9gpfv2n+JScRSr7BQshx+cKdcdFsCoLe14yp9M0em -1mkntcEgkglaDsHFWJQg/Yw5H/xTEsRl1vACjxb1h7/jjDvRaYTiPxSOvFWG+SjbJFQ2qaPPbPhi -qkHhr3Uvz7qWqufAVmREu2vKMtHv5+ZHG+KLSksuNnegs+lc0oSwHcfYN0eQPWjoSdBcRkTGMLf7 -xF6DdymSZUy3Gk4qnripmNa7L0q8kd1H0cqI0u0YmrlpmxfD79VmrYW2dW04CECzvS/lpBMOT6ml -EExMkWFwdboewjVNM6nhp1gRTAN080K8UvZSyMHtLZN7KZ4SUbpzhV4kGcbH5WXVF6Jbxjh5WKlP -lNLLMBEKnm3t5qbgfcJsc4O1/8O4FZaLm0n5jromPiB2PxFyKBMtssfhOcQT9oc6Ot988AWvCwR/ -Gvx6Y3zxppnotaQmZerl2tns9qLUCC8xTR191LhN6kMYeZvbcIyVzud+3k6A/I7dsRkuArYxFo5z -XJHlffeHg+68fV6XWqNbiPWMrQBoWMZEWLNv8AXhpndkKi8Y4JQTXjZglDATHt3NHzqCkdlWr52V -AmeoZV12cXuOWnfjhFBPa+qggZlNLn9AU12G63m/xbVaBj4d/s30F3+UknOnG3eOoETG+d8U/TNe -LNCn2A4UTIma8TzhO0aychZ3xCV5HBcUvtbnTxq5of606w7SC3+6PKOCVQ1YmWVNzsIYkZmS0EY5 -HJ+dFRuIQD7R2NVrTs8s6om+Xk62Iwrzvtl+vdTmei+OwWcZ9JoZ1WXuvxKS397IZLt45Pucb+qe -n16kjZPiKtytkRwRWTsSghn1hWMYFzpVDVHbfvMv6ySW68ztqhhBKaBk1w1ckXeyEz2HsaJRFFQ9 -Zh8vAwkzPfGL1kWBSDd6D7JYvAAeEfJLvEfjckKZaAsWq3DR7Argion0gYrxd55Jg9/lFckpvbRZ -vncis85pFYlR/3sdcBQjmupLQjJz6EnpF865Va2U2P/kD/eZf/cjTr1SFnFnAuV++BAwldexH3Q9 -CQu+zMgf+MIsfUZ/JL3C2kfUHOL8eUm1IVIVhcYJT9eiz7vuS3f9PH953e0asMC+jNOassEZyZzR -wCvhqx/BYeTVkaw+b22JA8yR+Ga3+Ky4OGCHUSGCvWLwdnG2KDlQ9dJOFMUiN1qiDXNrvXRge0b2 -c7pU94pxT1PZQm7K8T8XilAniNcQkA/N1J+ueKI0qFcx0U229lSc+sHT1kxsUJgodnUsfRDOjFXA -qYgIHdcb90/NVaRKG8EOM+C219wF3PDeG4xoyouSQ7POLK3TCkQkOvjpHR/5MnhxPTiK/u7Qy24e -mFJq006DAS6O+qQLVyzXFr8aRzB2D7VltXPOylhVBk0jqojAoJT+JNuefiChY5s7Lx3DzyVUJ6IL -/n0NZ52asyIfhTgTfCD5PYGd9xKzplr5ce9SJX992+8oHk5HHg+4aXbyngoxYxA+iiyaBAaROall -6Vp/rSwQzn806v808mQsmkBSBYV6jlSNq9PrM9fZASDDBO4aPW+CY66VtC1EN8v+rlXilox+NVWC -xoyLPfq38YqdL7gF+0LMK2Y/oEFKQuIujyx1ZJxaN9xkpl4+hXE0GBguSpRqIazmJo5CjFaYzy/E -9wmN8YcfbJ3oxAdizv55WspADg3zHfc09pKz2QqETXz+xonz3ICnein6MjIoinAOekGuREqrPC9X -y+nfmwVwIotuLypJXYgGn0oiUKLcF3KdZd0vHaVNb8bszGJqYYUoJ47LidpaLRLYNqJxQ8pnHMdl -RosQ+1WqpTr6aHTIHxwNi/7QGypAhMFCo1nay7gs4uUaM6BZMO03vjujtyF7HgqF7LrXWmp1j0lr -EOtgkmN8hwVwfO+/ljUDo0bM+ZKHXKaVf+7KoLqHt1r0F1geVGlj4NTa4lZ1roLP5kSsbabjfML2 -nOd7Ys1frMdx4+fiIBuY1Vb/ay7c98PSxoHyvq9s6YTHsJdvRbtwqQ9GjlW2yfrEgXNX2FtYIoSb -91WtoIDx06tsv8/RFajNgp3mUEHDxyBa4Md54Gydzi/HQWbdq86dCpmLwMZxTgCXrA6vO8b5vd2l -Xp2yc7p8WXJJIMrL1Yf5z7P71ZM8z2eFxaoGB/URqVdD4F6ON3BCnbaNWM5eN3kGnisOOmyZeBWh -k569YlHypJEBz27O+sQ/oCyJ2a2H3oE12USFdnQ5Hrp/QZnC+NXyShr1MiOqrYIyRcTuepiq1Igi -OuIKlJpwdLMW23LadRte9C9ZxfNAXs31YSAvVFfZxf6C3x+ZwEnBSQEUnaHWmPG6KARVPRIgILhs -e+1ZM+V2U25BLHposOI9nNazrMLhBhlVuCoQZwu+cxdpV0gDo9v/R+BACwRokwV7uJe3MbgWCm6L -/9kpHRavcIxZqV725KKWTmvVn0Jqb/0e/V66HWmesWYMJQFLXTu2eGBnz6w8tmrzh416TkCizJdn -g/OLOosT+2U2RC2BVwSm43F0hefOMOyuCJl6rh0vgqTICbNVGKYzMylkZyNGwOE5RUbPgqy6F3QF -ssnCEPMuTTy1Jx+EkgDJBElOTqsQglqIK2bIeaEodnqswCEOqbV90dL62Nx4dz3ajan+7+HW/bvM -vejnmDrmGvw+ka3sQqN5LwVQRsMyboJQSH0SeQ+UDUCYLiYurOTvcIdb+5WecXpGVliY8hwPGPjb -I7G7Ha4cl23yZq5dvcosiQMlmn5E1fwB5JlH7/RLPEV3c8bczWYfd4Cn5pVts/HjOrsfrYzJIJBm -5pPPn6YeNp6R9ImuwcQRjr+4wmRv13XHP96XYJ4COj3+UJa5euT9cRM3tGTX765aeDhf0CM9J9Em -JEi7e87qxh3V/8Mtu9sqfZeoN9LXo21LMeX92cSunWMfoJRAYo33ALpMKjvRSbNoJIUJJUcRaHut -YKzDES8MfKB3v3cF48DZADOiCLHuduK3/aalV1rOGl8dLbvVktO2kOCBrabZHetdCuIpovFRg914 -fiQQIEKLeYi7t4i9Clta+fzraUg5G1rRX5fqeoGGKGqUuuh+bZGYk+1swZWDY6TYIRjgRIAoWtdL -J46OiCrcqTikC6hk/JzqEE3YOJ+9jbcrcC3LitVoQMGTomr+e8SDnNG47a4oOrx+yOkQov/R4bVD -mnWsAZpNBNrqGQ8rSUz1pMAYe+rQ6QepEtCi0HDpWqovcI5zcGnx7YVsvfNSdg3JWoOpw2VIbYIb -HCiK2/Vcj0nZNABgAZ9Z+EMEfM/bedHBvizR3/llBWhKlwH8G2Fywze9dvxvA/IJ/DN/OC4BBsA4 -j+xzu/Cf7CZynfBX/3LakCNJoPC4PGaN4yeavQXGtB7qaDVLAfjfndMM0NqLre+BTreYo0v0wapo -Te8PPFzH/lcOnZcPAo/AVPqozADNwTZ/FXlsZsr3krbEuvVvSzaCCkGSgbL2pUMutq0TjD2INRmI -fpSHQKRc4CsMz+Dx/pHJgpZZHiKEFiZ1coiLIrrFtgnw+eNId2CG3Uu0JMvx9GMg4/Nr2E7NSjqA -2MyBzr8sXO154mqy5O6u3Skas3gBM4KUHt9ucRqAS4rm2eSTTWuC8aJw1Kr7lztdM91h/StqvnH/ -RdLZbzb+d6Pxmu4noGsOpw3aQrjhRJuc3ieYf28OXVXfPGjKyWyUd1jk4sJ26NQ0a6o6YAv/t9/q -/Z5QVOTKNSvp10EJV4nNQTCHrxKUpvluoHeiWoQ+213kaq5RRocGPw/hPXLS6O9MvoNk31e+MbSn -aF2UFAKD5iqLwFNAU2sl/mRSKstlIq3Aol4Ycz5OGqLYGTvWITLpvGMNnEBtl+M2ySqwudvEsYmJ -arjon6+VhjLM9EcYf1sNUsp34jMbsVzQRrCV8cFox+JGo9SP9k+HT1fTCqo/gB+9cmfwtT3/CUU7 -uupICaqub30N+cOY3AkQpImbyN7UTnh6ByDnMpD3gEJZgW0Qe3BUpUPMR0MaQgSiEudyol1FL5mH -tHNU9DHiSVgsca0qZYutd+FLO+e9gTsit7DZIOXSdhfTd9mj5hmbfrjtLcFStsBOWwzXwV9N1vZ0 -ICqeSCd3xImQrq2ZLlScp3UofK6DE7L6twrcR1mXFEHt5xZ1EUEfV9rNLBZjV++LRjZXzPU0icgc -mepzMuK2B8q7BqgwHwwnh7zHnfGLv9b5tCLxGEHdBXSCYhyAqOlfBF0ju5D6TWnnGXqg9VJTf1OX -bXvjObbKutiEvQOt7MDij0VRJzCHOBPl5Y+qXe0LBGMWKCIejXwKpJpdiTC/8KN5dBX+/Bf85+Za -BPRIfGj5zdFADXyTnx8shsfrEfh8XgG/bFGn8feFZi3Ycm26JgXrSjF5K8JZzBbgBRS+jakp09va -ycgPV/VVL+hhUmvBmehd9PrX/pk/xudDC219aQ0zVxY/kCTt6e4RSUKsw8bADba7TgBbjHH0kn1z -L8VJRul1Yg6PJVoS5okT3T9xhm+k5w5sMo8moMlKQnLRuFO0RdxcPErarpudbcQJmXgoNozu6D/A -iHqLYJLiYkTFV3MsMgPSOTES9J0EljhqmJI/a5d1ykJhz2mzp0l/b8E6AdiiXiOpXBu8TjMpHcQ0 -KBJxPnF2/ZG8+oqliLIWCelKlmGlBWhQC4n+uGo3Y3q8Da1xV2B/p5kZlcvE3J9b8TNBFyL4GxlB -625svZ/4fn4vBrGDbhUGDjQGoufywq1Aw4F0sp7gaCUzRoiKzzngmKFoBnJILf1c7MMCI1c21RgN -2tt9jUC3bx2VRC5+SaO4hvzC13mJvLo/w4bXnxx1kjHoARwWxUp8mbBptbQG/GwW2ANBeylkA+uk -G7gVW5+69/qzbP9HeisflmWMQfQ6FhynPYifCy3rv5xp7z+0ve6Evqx6xHCNZuSlXqG5Qs65qPti -qAh1TCzFSllDzEfXaObGjq1wqtfq07p9tgDqniijaydB3e8qd1PnIrCXDZ4sauJF33RzXbqAO4YX -NhquU8LX7CT60QtfYGs0jFkxBU+PWHruSk+wJgqj/Xjpqzm+dRt/GrAaZX6jrq5rHnGU/wChuNji -j3eDVzSAXAgcclxkyx36CadkxPPlkh8whjp0tn37bTH+4W7+AA3zBcFNnZkhdKG4TgcwQQ5F/8yT -WegMirC9nUZjvewYvmC9ntdYwe1TPjJuK9LLEv+vKM2ekB4/X5HGN53CUgiABQnkojAaZqSAkm2V -HDqMbBqkSWMjTWGnpJBGWbPauDvtixSNq4YPUSRSW0ePglTiPD3Ai6kh9EotGQp/cK8VNvOVaGxd -7NYfgxcr3Y1jlZVLw/QFLysR0tDofD0eJdg1zw2LbjKDYB7+KUH9PBXEp+miRemg4Q82wMzbW4PH -kfyPnB+NJBkJvia+UFyNRGjS82FQiq8WqhpEFeURhadTv5eSEFL1sjh+HTXpCBlJdUtMurb7vuH3 -D6PZL40CUPeXpq4na5U669gCBgxXQG5FucyMSy18j2HoIjbO8wxfOALBjGw43DGfbdlTVEsE3zh6 -uuL7T/DCE6PhIoOeMhqsPpidrijFmnyeQ2qzKysTvPUflVnlR8uyq8bFd3elFSpQccbHoUF024d/ -3Rqfbqq7naQwIwagFv2lMQQxW6i2qHervOOODT7hCjKPz7EtIpvqbCvrZGJAS8u/BYy7iCnZh3PH -TE+BCjFXfQ2lsD2izcwTqHPfLq/8BuqYFcm5YSum5VNqgJSz1QBKnebTxPbJo1qX5rLgZATmwEvc -/ahCY7UavrNgbPBH4GSEBlzDHhmg85mNx2G/fUqwgmXxu+4On57vQDqGfxdaKpFfmRrLANWUejOv -Lm5JQQl2fC4XowvvLiWQ27PzEXyGmm7qf+VAZPSuXq40cdiUOk7q6LZDUyJHk5JoBGVpjiPIrItm -r33zjL3zfVFyfaq+KPm18OMruFBxSTm1d1s88Flrb63UEarIwODVidJygUlW3lWWBbCaJYuHavdA -xQDq13Ht/JKL+fuNwNnEIAdR5UMNhuE50I2xRFb+OqxokLkbPFGyE6jS/a5b/1ODhLSl6MePPBzV -mhCrSR73doP6ET3NbJvdtg3TOyjfAEXfRW4LTKJeHxa7CBuOLPWho1m6FJ5Cpru0myTbeDCIryTf -bMX9cmbLwzfNzoQ8lCpDIGTy2YOUp7GsS6qi/8Ndn8qPT5hjuHsHBCy4zKF9Y2zOBTwxxVG96foS -KTqnNc8Nclv5kriWoTUW8N01KytFtLVlVrNfq2Wjnt+UBiYROUocOheGkF8Wetz423uZOvazFVKH -AIG4I2TwQgeI//xDi3QKVqNMteluiJqqpG7qXhQ/PgiEqpjkby0YPPnCISMbriP5NABXN8sktMcE -mIF9fGmP2GhPqTMrr1tji8rlDrLCRmucqcSndFlSnY+Bsz7CDMTnWHHVskPgLHsRIJTaWk4cndl+ -xS4Xi5o8PGBotRyiwUf+/YrBCAUDq6smeys82Rxu+s2f9nt5jHWOc5pw5AA+uN5S3Rtm3cKusVEG -ijwHaXLuxcgrTzk+oPz0ffWS6Iluq6uX9Rg5Jdd4zyuusICds7cDBn91tA4K5bcPf3RqI2c5TMh9 -UosyI88FcAnJdemFtX0jLwtI6gL3qnZP92g6eZAs/B9UT3ZTO+K+IiWsumPMnNjsdqf0szNiuGol -IxMcRq7jJGHgcp2XvNUPBw8C71HcUGQZVytQ+fCgJIqaF5a2eLU3SuSkJ9rl821deT0f0xTJhlMA -60kS/BjtEe+lcf+Q7CQEvWqXosD+/5auTAEy5u8OjJCHK5NU3mirTzYkyZrkYSLHYcP4scKqM0W2 -okC3SDlJmPqPr4uyuV8qHMwu12KCN4uGTZ1SQ8sdvxWjcOVWuG7YkEXybkccN5oflbv4G5e5N2pQ -8LJWBowyv2H6uLfFrtj9+HEPUnNlC2px/AijaiIKvyj6mbCR7UtWGzzkSre/RNj9LkoKk9gCA5O0 -tKmaYRmsIV6CTdr0rXzbowHjT5L0p4ZOKq6XDdZZNIgTxhrsZteGSa/zsER0iqCQqruJQiFIhqY7 -UoYFGwYDkzkW579zIVljsx6+89pwad5RcgnVHmGoM2zd0lqvPOs9U7+1/LMAg6GpRCz0sU6vvOvd -wakjO7mOKLOYWC+AcedqMTFfGlM4HhUn3A27tRFjwKMBFm10o/JfA1arIprT0fXyeVl0K/5OE2ie -6hHn2NhQfCiBCLHMC1HMoBr3JvH3CkkhJ2Ep6nefgbhoZHzeIbgx1B/cYJdXSy6w1VVfEacmSHCW -9ISnxF4Hw6OxFWegK+LFMT8WPYx9yUlZ2hEw65vpDZQBvSebnAPpeojbCinHNuslXo7/+JjSjT9c -xUH4sXvd9ogDDncQNZPAINq8/9Dsg0QUxE8Kbwe9gzFi6/FgCQWU578v0UH3rZzfYnpFuq+Zejww -FJsH+Zlg211b95Dvbw0p67u6soaKJVEInghCdIn4YYait9l6c9Cjnyp5IXzFnIYPgTsWt5eWqLJk -uMD/YGg/5zj1JboB9fswlZENkOZwgrEKU1hrmXfgsTm3P/Q0xT4+S4hB+1aXJFk1pqFAxduO1NXG -BAo8NkSuvRCsz3fJ456LzdUuqNZ4kuzcx9bwPfBH1c0dqi01AoTgEUnXA0zCF6hW6BCpOYNCzOZ3 -q/0H5Wfbzwoy53fWaZXUTUkUDEXUOZg4R0okJcg8H4xnSIpvyMUjGlSYOol7D/rwAuTqGI1KH+7b -9QGDcZJzPkOsxGHS1AuYoJajwwaSiRPSpLhzIjHipcapfWvhpKfToxbGd94GU89dczpYRIfFu2LZ -xVqla7XPOygRhja+k+WD/n/SMiG+EjMc2WYpCEIwBQpUxOJ2vcqpFF3wmneeVLAWuGURylIL/x1v -xvmZu8Hc2yTYIKLLIjp9B2gI1lhhmgu1nGCFSDVfEc6ipnIsYgSeWwDdSe5pXh8NTlmZSMlsU4rZ -bd+VpPy9gKgZhSiN5ym2OPCmiybkFn80fleruEPxn3EGQShTYf2GK6E25PYghqI7hSSaJ+LVb1EG -NAk7nmN+MBRP3ri2/c+WOtDDXhTXJH/78y7rKSCTEcAne3WOkdsFkwQZ0w7ljXL5iO7FNWnZWosp -rF1nLY6AnIZ0UieJolU+X9VxZBhtAy1nHFm50INkUjIe+Bf2TKe7SiX4txqXpvSNoKyf8563p7Um -p3c0qUbkVBsKL6CFt2dQ8ONoyjXBlnF73lyhvsJwr6bz0OisGmo42S1sHpWgP0cW7IW8zj41O5B8 -mDTqn1MXJtO7ipZ67SncUa2VSW3nXzW9WBDWd5cJFkYoFWu3EZJb9BnpySwRc77u69pLLX30/n1P -KS1tJsitIqa3TG/ebCYU4TyWSHwqONnFjLdl7rCwd6w+QtVpBBZLW+Z5W0p5ATh8lrnUdnMdcuUX -78c87EHYlDvYHEgPvy69Q7iIs43kEOZL5SaerWul5brDrrBKVJ0rXOjfnQdZ5JdrAr1pIM+CdyC8 -s030I2X8ebcRNB1oxk+OF1zhyje8tJ+nhnGMi/OX8HIA3GITJrExAPRc975+s+20C4ur7h68FWKQ -FnM8cO9gY7xUxkc9kfkj/2V9VcLgBDo9dXR0wval2an1ezp0gtKYpL6uYO+VtCJn+ulB87HAvjXH -UPUrmshlXok1rv06pCWxhA8h9D0wwBLvsVvXOzc5zYZFzrn3VKx9/0BbSH6wPIkvLxuD2mfIBzKY -HkfApQbmA5jzlTuF9WNiZ9FAXNLfqu08X8M+51FGO/JTW/lLcLr08gNXz7RJng1kKmI6YEhMQIO1 -izbRS1ZQjYCReUB821u0CmnDhmnxqrs2WFKHGP2XUQeumdmX6FWQshmTtZe3bnZT4XAXXlhR8VuA -yjmX4P96DgG1416v28wQYO7t2u5dXF54rhndYFdKF8gf/iQyeq9wpZ0g3hqTPQoeSxd9531KcNh9 -JD00vrES1PBBsumXhYvK0DDO/xlh0pFXNQ7Ti4kgo0RumkRTX1/Y/ZGrqvwfCAOeDBx52sCG16RC -XntycUiYzTaS1OFBBHomZzFuugPvuH7DaHv0eVhpJ/ZvqG+BXKNN5/Ww1EtEYvQMqboz+37AesMp -65RmWXlDsfHH/jmccxx52y0fQ4d7C0zjviaO7C/OI75CzW6y6pCkkJWpue2H7lYOAKyJTyORWRYp -V2O6t1VE61QmSg1iMSeGHbDYMA63HfXGwpFppfnxxEiG+G2UgrvA+pg33KZk4J9dF8sWEmPIYQMd -bI9zIEmNzp2veks1p8Kw69iUJPUGHk+FXx3zDxC/XVyG6quD82iD8E4QLjKFiPvBTOV/vNoupV+r -oNBsMXIV450KD8b9VW4ANx+XaSsnadfwFU8iRrNSESGNVuahqigD73gF2PuHXEqOhSO6RYdR2ZKM -I0qvzfTaFc3KvETbqwDcwMzWBjxIMO8bjO8NGEy5DSVdNwiqi+Fdu1Z0E6YrWN0TzoATy/x58joS -iPbkpWKVnciT9Ml4IkelugDPPTIXOPISAAAg1+Ukg2bFlzT81scYTsUzpD2ZO9hRytmJpkAq3/N8 -TRMnSyrmUlp1BhEvkDbyiK+1r5l+AkdSvhmyu+YoOV4k/DkiqwiDx++44dGqfnhCxu2xewqLSkCk -ZppBcheGG21C1n7fefFYMhUrUeSeKVrf/vWGNm/wvVQedhkf5/ngPK5o2wGltwhd3/I9YzEHTXiR -EAom2XnmY4ykXGaMwMZ+XYBLM4vT7De9LhXHIToirnp3gsY7mZCxz28fUJXJ1hrANnPqdSoVnqc8 -vMAqbEZep+ARrExlsinfVftBRKOCWWaCdB+O6HFBVTQLXKYwgxMbi66tXYzUTjLkWey8ptFbtWsg -XGwfJxaomQ9vRzDfeMfbHa64w+LBLx3SiJMHedKyFVLfSy4XxIZ/3DQzDgMLClVPlf4Bx4GiuGfn -V6AIuN1TkubFAK4b9ArhrsShU1J0uPeccwlsZsHKIi7+00KuLczmVDIdpvFjtyNRGgfnCg1Tpn2+ -wqtL/mC847XKUBOdTOFTFjbcNUti1PQ41/J7NnHOF6by5s4IdlNFlID60nedxkAnduod8+lN7dkC -Dfdd41FYidXuYsZgbHiyi5VV1T5LRn70qJtfMjdgQA8RjhjADQjjHyy0ZgWcF8RTRo/wxvbKjL5H -Da57XTZc9M8ZlXGl2+e41KrVrjVEEoZm+/ZQSLrnr7SGdetsIbBMiRZ2F5+6ICXzRPTlsthkkIxp -EkVbdhsaPZyCuYSkWcBzpShJKv6IitOBHBGcET7A42fpE9zvjtLAJZXA3FstymerYdnM8MLXJK/5 -yzx0C3g6o3gVm7jwR13jk6aUyhhsUre+SSWz1vcn/8dLSs+oJoo9zoQtEyXIPd0ImOVm+I2Gw8wv -ch0Ry7QNgGesV258lx32ie4P+MJ1dAxjZIw2AEUhrUePnPlwW4pbbfkAyz5J+NHZAQHpCqVTredb -UoRUr9dp15SpkaaC3OzprjjCg9hL1xMbDLW15ZxeK2b5ERB+MnGIXOlsZl7+eK+JGqpwZAL00/Ei -L4tBmBMFoScmPGxjLbbix+wxbf24Fr6jKu1ACEGxHv2VX9L9uExGbsoHNq4+S9+DV9/vrCRqntlr -nIYXGWvW4QH0zI4GOSlr9nbGVCBKFKbjI72P7CaAsMndmhsgR+mybfUfGAYFUMGpWS623KoeBvR1 -rXhooqHGigDy3eLYD+uctyR7Ns2H1InQuCed6wF+NIS9N1XBUWNfrroXykiwD6yiAQDPdPZHegPi -YT99W0TZfRPPXmLc/zlEuPNM5pEGPn4gCRItVN5HCM+CtnhiNY82VOqjWJO/Ii4IXp/raW9BFzv/ -Il7Q1bS925A8CfCoPWdFF3m8AJoOh/KKmRp0L6BvEtPRGdrEtYCWuQlUYDdNOx4GuUEMiT+JPmvz -ZZZNGGBLnyuxYJcZUaKuyABQ1gF0/zztBCNALvMw+rIAEoAszwu7Fn720938skiydZ/51bghLuLW -lgidMfP3zc3KCPAM2nuzBVKC1O1L2P8VYmzng8t4cAfR6MKxr+syYKd4OpNwAw/7JGA9i4UlNSFg -cyRNVRdRt9x0KIkV5BPZqoV7EATvwm0995EW05F+mtEK2Kx3Ykm/7uKgI2irXtusMwYlz0Mx+Rbg -oaXevCuNIaGyPF1Z0YDF0NdtQVzR9q6lk3QKqclAbn6bc8CviQbuxLvN0cDweO1czOwpZQ5r6JQL -STthGZ2bVMa7N73qTShBFVd1yGLT7ThhfS6B8rvTTFoSlMLJzAZmgTGyfGklzWkgIhe6AwjpvvXI -LRdd6QiYP1YAgz1OI2RXRfvr8rRrGRptabVgAMrngVgoH3V1m+olqkC95Pt+5Xovq37oJgnzq20u -Yv7qlnZKo4UsPkIgYfwNw3QRlom3CzM1l12AL0874MKheGWMQI7lk+czSwy+tSVpX0U8oCslqG5Q -8RUU4dRKBaS2TMGpj7c5F9di1wsOrQk3jM1DC5lm9O8i0eak4CzljLeQDUpH1HeytaT68n75QXO6 -jBa9Bs7aizH5I/8kCINVnbsRrFZBvGItBIamXW0jAfycT1XMfti/1gHZYie4hcR+QabCgw2Whhnm -+D5RmC/PKQNrbmJWq+3lBBmcmC/xzaFi0Tii0SWCocluJeRDSFQw0zkqXOWwC5ARwTWe537yGzCX -IDomvVlFIwd2eJjnz8xlU1QpZYcz1QTV4eZNBuluEpzbCVRlk8pSwZn83Sdx2Axe03uBa6cX5df8 -/LnsElWEj5XBxwJdiI0sxmotVKN9PJn7pox/oefHB89dfo7iC8mWBpMNx/WZm6XJ7dOuFY9p7a6x -79DMTe+MaJlQL4oPCIzv4g3bcLYRhMg5IpEXbZOcolW0Ltaud0/lWLIxIl5lvMZeK2GF47C6Lk47 -Ebjv182ijmIv6Kt1mnMbNGNyQob0tiA3mZPMnAuK1bPAnm37wKt5HmL4kXQnCCVrMrlUxbCRMUe0 -/vceTiECtPDOiV+cuA1KVhvvxMqsF6ZZRStXHTCyC0GiVPETUsArtFMMGAg1BqzdrigUiXgNNH4L -afsDJmwrDqYscXKJi8ZINLEensKLeGPXAvrVvXSxUIXQouZTJztaThoQhmnuuiEeUg6djRek2oaD -4A6o7eeIotbsjLatnyBV/bZjptjtdAqH14XoXQx+u0sOWwWw3wxpUuE8xuz0dyOs5z5bLsy+YyHV -AYtEYPPJFH/kF+GIdF4/j4LVqK7vAOVoyDNL3iNCfFhuyWp3Ko9hGDyxV6KzusipSZHfvEeaBopf -wpdB0bYeSjK2W4ASMyCmsfXbg7oj5ZF8DYv7m9ZIob4j3VJJjsxYVcsdbP3P+kP3gNLCsElMbTPz -gKF6HE+7Wz62gTF5R4VQe8VO6kIJ65n8k/7Byfd/rUebFTFzjUQesGWLGKNFo8UHNCDKgz+hLRJO -lVIJupksZnCK4Ul6F+kLtQepilRZyHd94PlEzKIx+DPS2WLjxFRXy3xzmjTutzOYTZ422ao6V08f -FUsYhsWyG9sUNhb+1falt4pEikOCF9bDjPJmf4LYScGC0QTyZLUrHMxKL7IOMqFZ9ptKN2E8+Hu5 -EbXDTCkT5iy/Hi9S/P66PYF6AIDcqBWO2z5AGcAiDMzoZewplt/A8DLsm9omYkt510k5B5SHhY6W -yGxO4F4Wy7OpHpF/s6ybCR3S9X+tUWU03TTr18FY8JYm2UvB6mc/vBAzvLB3ymJZMXNDB0WYxtPS -fcjs0W3EkJAzOfKb61K3bUrvKaG0DpiJPkrEZIrRbKPxSPFDY72V9h5FASrMOFQvRsaCoadPK8aJ -nvNyiLIZHTZ4lTxd1cPsHeK80xnPNDXNyk56jqFEUJzmKjt8NXfM4vcNMzQ2Mm4YmEQJJHtu9O37 -LdYUhTJd/01ca3+dR7cBOp2UuH6IXsUX36AjSpKI9Ug8U2dbxKdO5CCRoCrTDAePCBlfV0+Q++4V -So0t6nvVYKAQ5rgMdIn3I1hscx4szQjFZgfz0FXWtgeWKbxAiSFqEPM3vOdsMeqCKZ5tXj0ut0ch -sST9lEfWe9RxoPAr1zDnD8Ety8AOraqVeLM3JzbjThexvXGGorCPk2evCi04xur9ZqfcU76FyKxj -fC64bRzHSRu1wj4qeWI8YcdlNI7XuBP4fBUDrhueQiioyfWgU8sP5YRwED3mZn6FB+AttcIKq896 -2HMrNyFbKb8lN9Y3uGTrMtJnNrX1FqJt30m2GTk0tRvkZuQTMnR15qK7MUH1YEr5WTJVjJ/Ed4fq -W1vwW47BpZC6ffT6WPkcQa2Q7XXms3tNEoGTOsNPRq17cC9RBMftnsBa+tOgByvycDqVZVOcsQzC -R5YOx+o98BcoJjr6a9OvIOd7I/DQKpgxMsBWi8q8iXF/ySGn+A58J/4WtORBHD6a6uUZOpk3w35F -kwOa0LnACQCzUzsvbtML5lyCnluflW+kata/4wacCIpbItopmklHUTeUUljSbfngBLBvi5XIlAs0 -gnOLBWnjKpsxQEQukUab/UeOe8TQ3KTdrLnLeDTYW4TDXBLQi5Hj8FcsnpVWVO39z4d2KDQYoZ95 -mQrbS1lI/QEP01fjL52kGOOBKs0q3efixotXfanRKFQHdBb2NZ5yGfgqWuKP1WbwFC8sVkFMWNt9 -U48opVs1jb5lRJ6oIX4aWgPtCkDlgBOsj0laSkz/4OXTb6IBL4+oUMNFh7spsjizw5xKy9e9ughU -c9mFBGzu5pNklDLk2QsEfONEwD3UEAM5PZmitg4yIGtKmqU5X1SZ5VceF6xB5LaYR3DnXkAMQOvC -JVt0NFTmiMAI9jfb/PBaVwq5Euili9ieNR6b8gBnc8Lhi/i/svAzdudwwQhVKtnIuqy4vgvdH98K -zZu5OGJaPx7YD6ZFmzdCvzE8a9QVJSlC4TzPeziMMqz6MOjAGekSaRAInq281MdeLujSRzaD57sG -YtrW0BwPxrmHgjLBmF4/RyZ5nQNe1DkE2dAUxKWzrqyW9nA3ffcZJnpMPmr6/ahjeewa9LNvEl8r -UqMrX0AZ0O70raDqj6u/+1uUJrNuJ019+HHw7RALp4hcygDXOT8SQvF42SGq6vJvSzlaP5F83etv -YRe8Nxl5wIRLCxlbfnKoD1x9w/FJGQIXfx6EsKiaohIWWZvOc2E03spHdArBk0TtTTKQ5sFD91H4 -AOuWkwK3HAjydnqCxP8qz3MECbQAg+sePz22JWkcq0pyPfs84QO9110iGZUf2hU7piHArribak7t -/mrczqKYu2isr6n0rHdS4y7woHqJL6J00R9J/LWthvkm4eo0ngf5hpSfZvoA2ys6V6bY1EZhXzH7 -UK5II+C5xwgRa8ebfWyDzm1UlbMHR5iJUX7y6ADLav3HYw4iKC+lQS4HlMIo8k9IQxMC2pRW8bWc -Ij7wsMUbKZSTrwIDaZiG8/wD5T5DZF1G1+cwKSLE9pEIkqtHMohpe3hDFUy5tWBTbNtnydlhC6Ew -erItwxzRs0y9GKJN9KAtzxtmwdk0MbHxcT2o4RSwpgDFJW/EWcDjn8SlUToAsFecTddmE9TZU7HZ -nqJsJu37geK6UpElmXGgtYMjp1IS2b/r0A8KEVomT8DNjW5zTTFaAuQVKfLRZFIj0V1io5Sz1PmJ -7G1eR5ltkHAqu/gA6kSCQew6xv2edKumPHlpX9zs7jwHMgvUNBB2ijv4XiQ3Ifd+fZ+EFl7H2xhC -ayb8Sq9APRIQ6YmD8Wov9Oz4WChvHJ1zbncE5bZcYmmSdrVEmKJVRPSCGqVx8czpyz/kl7BQiFU9 -2IvGNStPcKHZno5wkCLQSpUPUVQPqPys6n7ff8f5fJh2cI61C2IGzg7zhg3Xgs5LhV0P9WcOyn8r -+XVCJHCGtwL7VWh/y26Z/RmT9vTdwhdhTrmxpKF6pXnARMNcCJyHkYMmsKp51OudlY7wwtPtMRYP -WN6oMYDJghhfTNeVgRMqoQiTJjNWheJ5pYY7h2UZUxRHC1yRIFiJzAs4XYWKaFdDNgowJEW3kOZX -H1KuLMfqbKQC19F8qnYBzxiEbHZe5QOXZqZTJVNMvwBxMfDuHG6v2YAxkakAopxIfiOhvm1/skyx -NO5GYviUmmqoLAiiGx2OJ+OX1S1Vd2tKX15Txd1HU+/hO205QdCnQwbPV3H3AHhhpXyoexSGOWdP -Rx8r9CJEePf/RlZEbt+cE1BkeqUsI2mJ6fW9ovpBJ1uTLRAEwwtwBQFcILUhyAH6A4JOu02ZByWN -03V3aKIxiDn3OYNgEuMXOqvqQ2WfMTLawfx6bAkLnpPWlZNyap1hQ6sUKQU9ysCTTH1ELpHaA9Mk -WHnsl6VTm0NtxKfUz86K3j9XjYHgkCO853yEioiQc1tYaZgon+nKUFNARFYvtOBvg8+ryWe5f1SO -mFFz0gLXvdrr/fAA4iYxBnxrc8cpIO+qXDV5PC0KQ1VAPRuh3GMVtwKRvZLgJLgk8W1wQa1lCKK5 -6CfzUPc9348nqv4SY6FE3XliOq6uGTUjCTd2vKBoZrB9NnN9UvqbPQJGVZJ4CRIYEhSSNLcGsixc -gzR0lYnlhPLzT3z0APrq3ZWSsEfk9UNIU8oQfxCl1IE0dx0KlEgWv02p63sJqfKjXT7vC/Dk0Ro7 -h/tBIDG+z6PlAXsn4BU0VF8qo8ozk8a9YN0fFA/A/pUcWonLsTJnfHulMcimrhRIEk2/IcUGFCyY -C+qC4be5UvestfuOitGO4O0HAQZ4DBMumhpsv8QskLc5FfIDK7G2McsX7V1O71//UiJiUcZDbZtd -rwsTmRP40GpDkg1dnDGx+xEN6M4jgiugOx2I6g8VeLf2QGRvgarR/Yv3T/sx3GM+as1+ClUFMqIl -jj6x4m4Lgqrqwcnp34v3LkxEmYoG/Iu/MvPZ/x0cqhBXeQ0b+UwEY0Sc1oPyLB7z/JSAaYHIU4uk -9A9VrbC1B5qkPaUcN2tW0c2tEffc8ZFGQQngNKpPrDtRpisqnUtdJTNbfpNpHI7tZm/u9X7deWgq -yJ4MQMeUxvy4S2GFxPauMcrzqzrje322X5zYjPHJeDMFsZU5KI+36xsRk/fh2f2pSzxNusVGi/tl -sPxnAOP9APAEIeDvGGVieYWIB4H9lUCxlWNo+dv7fEIZaiaZytGQyb0vbws4DeXMhHew0k2+zXPQ -EkflrVchjFmh3ruwu9vn8Bd2t1XEpS8VSNlEFJ590cXaYRNhnJaUgWIxsSZH6dObQftowg+BgE4I -Wv+guB/VCTvtjRC2mkk/rRNZIImCeTH0iKlwktDzdNremcwLfBtz/Ws8TRlZKb8DjaxrCcBLxCSE -K0bd7kdg6nMvHRVu9JDUqVJxgj6kUZ40HvJ8Z8K9StxgCB7MII7N/XlqBT+h0LyrZYnrJEKb+Faq -n8yBJf+akuemx2NWBJwjA22iIEbTcV2kjrSi3AkYR/d9BuvY3YTN33Xlc0ywQaNAEjkXfLOJsqIi -MydSTVC57eNduXembpo0zSZxpapRp+Koo2LxPL2FjuYObmGOlbimBnwzRKMPMWgJSz2Xq2zWMbEY -Y0KZQtW8/dJTQCAbC1liKzDWu5WvzShjL0kkEMtpMkt1yBfxwvaiyoBEkDuUvIbN/16ZiI2RBOZL -d4iFCES4YAfUFeE4g781TdiK06FUH1lSjg257lC0xjBVDutF4/TxbXUE7gUvQkTuzOoekNydTBdb -KSxEHJu9cKwWx1561x4MwrJKfknIQG2oU0PaJnUrnddxBepjLWwpRiMLApvtXV/ysLZFomG5YGTY -MW8DLu8jIoZi84WVSlQqxYvEZsbBhXHUhA0SCjTbnFiKIxnRp4Zu0n9FQsiS6Mpo+6qXGp1K5nCQ -cgfqpUJDwCwn5EYqGH34kkb+AF2H82R6iosO0gtD4DTaq7lHXkDGWr8/3JRVl/yqe79TL3TosEpG -wFzmXW4PmtbuvyUHpTyGCTfZv/YLyh2A++FtRzAOwEK+mIBh2NZCVhvxzkSWJR5yY5lRAp3X0MdX -NAKQPD9KmR7zgXbQuc7PENXt5yy9U44CaZHEG1ol700xU40Ytui6sW1noseIVkHN3/doHqeVI8vc -KZejDBw9hKL+wJYu/8bq+/OHJW5xv//VdOBr+EhbUSc1QsSKwcbjJprK0y4qadRYynStITdKm14j -QYCqcx82Mo9/b6dUu/B1j9uDsGn6hR1YYZ1jbYYQH9bft9G+IQXgaethr28orJt4v6W0W/4QK8bs -HKoF62awSkdIMBaVUktqL8+ns23WTmhBaPAj9cRJDgzUBILAPGd3cEZjE9ZJ5EqsP/j7D0VTrWNJ -XsExa9TnoM4kKMDVETjDkdRfjHO18BaTg2d3zHr+DFqa3C4opwr+1soWFQBlumF9JeuqgMfV/a7D -uVBzADalniW4Q5myhl+IH2Q6dHHXG8u0xov1+pnymNXGDrwpdB4pJCwu50+wkPbEGh2nmPJC2J00 -00tP4DsW7NVn35/EQVX1d5w99+pDWbkB/1rDX7uMUHsqhQzwVGyPfHeySanTIX2oElKoyorNJ5IH -5SIjdEosi92pz3FxCX2XWSe/BKqYcLkHyvP7K7pCbFHj+XRdKq7DJI2mWD+HuxGvhd0ad1nus/4w -0l6bHHNKDOronUGQmUWaI4rZogxE7ggR83NBOZYo1GQJ8CKXZEm1xdwXcX7XWr870YTtdT223W+X -gc9rm7QbdmWeWoDSauUoKQnLYqHNW8jUjwU09aWDgtMOd6btAdGmDY9mnZvER9S2hJGAwSPvVISM -wPMouSz28PjOjay1oe+2hUWEtF75oVPPZEM37GEKjmC6JirRtun+SbfGhl/9IQ1kxlSab8rSz10g -AVzo5JosK1vc3blIDUSRnIfvhxjPMyJ9akGuw32ugl2MczPZ+T8hnQcKXxEHM+aAInBGuqMRt0A6 -GXmkbk9tDsJJcr/6xVCytph9Db8tUqF8zkyAqZ8n6S++/GDJ9w19b6mXtg/IMjOsNfP9jm1g1hol -L4XSZQn4wStdYApbWu4v8EQaHlEkoCsxer1RJ6awzfrm6/0FbQue78EK4tEs0v7GQaZG0tiFCp4y -fLUS+f+n+9f6cjkdcSAusy6wy1JcPW7mjtfR1NT+nYmTBOlY/iZzHciM1hi1krtV0PZ+YXJP3ubt -tC3HROi8qiWt1aJ+aYhE/pVATkq53kjvEFSn/FCNp832W328SdO7G1g+F9+rA2TVR5f8aWOXwdtM -Jgi2HURvNu4DPCuT0VWzw2sh9tOtql7q9Jp4M2ItmCXKmOpi022oeBS5+/h62valV5Vu0F982HEG -MvJKVCfRyvWQYclIzR56AtAsLpjroFJRnP7aWDZmeCqf7urUvzuUIANXP/OtDxHe+aOei/vcw+iH -+LDz3ylzsmHzJLRMP/uHmQp54izJ9jDlDOZ/K0w4DbZF5tymQN+KG0Er0NgmmhCzoetvDdwLDRcF -S3Lz+GSlUuthQYzoSxFDLamNGCEVneAdxZHTAbo8w57z7Nvi+8QjV0hSqHGt3HMR0RiLct8NjfD6 -yHti9KLAeTM/CeQJo47S6bhUB9ZpSGKhgR5K7m6mlIG+2tsKCu/yAgNMhubx7zMnkC1OIC0mEF0m -i6cNQSm/U81i1WBySKLJjaJtPCKwLbkaDazUmJoMMAXLao27If51L+fCm6bsk7/19QHTexyX29Kj -SIO6emhuE00zjalzy1OQqRufy8HSjdU+sVbsj0/AWO9FcfH5U8DqtcrN2IZEuxIIuXXInApzBKts -wCQL3sB5/cM5h5My9f3svAW47YkrgES5OoLxq6xaLht8UldIv6sCbvy2A0Tou+kHN8oJo35kDOIc -XAkP8GZku3NuKl+Kr8bfLWF59OG6GSrF+AbF3vmDcTll8f8IJ4tYqimAL5CXBZUBfmizLa1Ov8tw -0Yp1+IQnGNVxDFSAEiss4SlhFTc4vXj+Zr2uHgwgNlbJ4uAcrlm1Gp3ltdwHtsZOBoQonsiUDnvw -C9YFFYyXCvoy/00BcT6CP47mGVmQNPLFTtKRLxDmi/CHR2xynFBcXGluD2XeChLchUfsAU2EqRGW -A0SShsAqriF/gyFsQPhQj7f86IL4IWcsvRlu6AIm43I78/6L6Z9JE+7t3xEI87Z0AZy5OoLsYhGR -x6HKJiFR+MIFg+C0qYk8+EM/hVRwyuwO11FvOToj/jCq3k4r9D6uuQT4ALeGRGxf4LXEPgZMafpM -yoH8gvhPZbGbCdwmtv4aqA3ShqDPm+yyz1Eo2OPF94XG8UD5THCzdrPb6nE/JQL1nQFVFT3vhjI3 -WuUs8Uk6kL+/lziZKFD0d4ImMJB9P8oCBPm2jkz1CDIL/7vN0z07BRWcck/IYfxh+52HFdOdX6hs -jl6JBB6725d8TuCD9sUTZv9FNW1jRl3yipUSuzwGCz4VSS7s9i8lZUFVeqyufDN3uEGa7KW06kAZ -mdTIhVYnZOl4vF8xjlHfPs0b6MFLiwUpvqMUskgWPsKfUCMZFiZ0UMG9lmwCqBNjr05JqA9TJTmp -IaYltziz8Kl5n2B6saB6LYGgCoLoNKwIpg7IsqRdt9dqYb850ast2p9/h5ZrAhOkYJCVZv+rauZq -cSAjMnfU6x59O9P+kvjSBW2wK8N+8hRjbnWLSsPMxV+2rLCxz8a1QH8FYkNINwAdCzicZCWW6Gk2 -YDoAif+hxHoJUQt77D+BB1D9QXJ7UKp9YCgfVunDX1NYhPe0sWWKKTxCbmKlSCZ3bsttEJPyTXmK -A4XIvDuPUcbOX7KPNHpOIcur1wDYV3SJBEaxPNO6obL/H1WDTTcxS+NYpPxvNSrSHDQ2nwpIS4P0 -obFx3HEjrOAtlKQ4un1NNHrPRibdUUH/jXQDPblVeraXcux8VhMwLx+OMGBJz7FsaL/92W3XeZex -AcaUXxts8Ew1E68PD2mZVLA6xpU6NDjXSA0yBeZ+LtY7D+A4TRbWQPs+XHq+/5NRflnh9py2vPg4 -71pgiAFrYuMYzpR1c4tSSqKDu1+2UBxAsyl3pqRXfU+NufPQSNUgIjPgLNwNlZmWUu23GVtkG2BP -N2GJGaj4OV6j1QFnmo+8RcyJqRAB3h4ChkYGvqZ2XGcISOAQBvV0jsxFte0ZhRpChtvQPDRQIcAk -C57N8HKXYUP6l4omgGjy17CqV7xE44to2OgLGxHPWxytY6J15J9F1lYH1MGvl0WGT+sEHyxFu5yS -tNsPQqIgtVXZYJwXVy6Knm2xqOAcmjiF3A/lgOqmB4AEobmB5piNaFWiLssJGrYKJ/QrM3ZuKmHN -EmHLx/o31Xoqce/+bKoFgDP5uNp3Cu7c8FZ/3EJKvYuUbWmip2UkeIKY/g+gEHajHxBY0BL562AO -9tdNGdFtJMCZYIZZSC6DV/U//SXloQvrwfmkWUe8f8ZAmbVaaCGE9O4pkfHLX4jgiXj+mJ+/ykWZ -9u/hKY6bJg+ruND2zzy68U1VXyM9qTovMIeauDj3boyK4EN4027K43ioDw1Iecnqzvyl92r05SRK -6cvRHTts/0FPUyC7Ppl9UkOpbaV1854DfMOJtAOQGas77Wb4Sctvg/53F5s6uhjSLMYaseh4rn2v -k+pZf/AxCtl2qk7x8m1BJnallVfS8CWNsUIbiERnlQ3okkxsCOHx/3AEPxdOk7eXFJuxOQimeFJk -mp8CjdDuY6T9SNbDld1oeVumTvYUkCsyOhIFKvJgPf10it+s3fZsctI6iGO8WupgCiSDQsOr0uPL -Dk5T+7I75iZHlqojMGpqIiGz4o40R8wp/wwi8hfXaWZiKVdbpQDEMzoPWqpKlklQIhRJRynHvrXt -RHA2tlHvj4RWGn+ghaagt6YfR7vXUWg8afpNNTux6hQ1kPr3lUv3+MyBicy9cysEv+Z3gWmuBvzk -QEgLbvidd/TxFlvbY+vgSm0njCPSJMSQPv9kEsCkdKyLG0bsWvpK+s4DvB7O9KBgXje5V/d0gvjI -hAyRjPkwL9By/MKWj0yh9uckfO6+hK7onaBaCe9tcKG52Ek9zLyOkE5K/NcxDz4L3dlGqQ0pH5yt -cjNlA596J53XbWmIqMFDsWdullyn3F12AXRAUUtm+iNGgCA75zfl0tlaDY9FOgKxUszfK0TOqWRl -z6JguNihogHOF1JIxWGC8YeqbOpeAuBhHbp9f+jS5iEe1sxkRs/JpV8iqoiDqOApRu2jIxV4jL1w -Wgf9nBd8DIeqWrFWOFdltht/O8XpQHeTHY3HHGyVUz2Ljydk/KMRaNjGRyJoJ52OEVFum2jd48jS -r3jBGd1gjmJXurjKK4sL/Md3Me2g/uYyy4gTB0ft+HuK7AjSfq9QXu0eaLgDc9eBtoWJDqhI/zbv -aygmlMObwk1ugNYitYWj5r7K5kFRZOUTll9EwWna1piaupAAwO3sOUIAerzqU6VTnSFC5eEvRVDB -dcKBIRp5iaCY05tpivTXHqjet5E35TU9z3hoJQhpsxxlV0Gmv+DQ9C+pjXUAb9iq5YsIsId5sLuz -W5WjfOsDZHIC3nrQHZyELmnooKkt5XM11pOzcEDYB7yKUlCg3ojvNMl32NbPJ6fF+S2bye6dvTMb -JGprdNaFcCAoPmpjXOCCpDGIyzuXn0PuRELkfh5gNsyC9Jn3nzdYxyAyirIgGl1WviV+3nOi6OuS -pf4DroEhhS5HYLM852HIl8Nkrz9HWX6e4RKNsRxtdhuqEvBLrqWjVvj5eRL7q9S3HoD/liClMRSx -FGr11NkDjdbBswr1HYYsKcC+pxH+HvUAK9hVlVfz6yp4zNlNNLNlMB5hx1dVinAc0MRjy14dtPdR -RzCvVgfUHOWtyxx9L1wnZoVRyRh0bqEjL22CYLq+lmc8vdxZ4XpgC1e0oYME/crOl6a3BiqXccZn -06WYPyv65Ox+neabDuDHkyGHRknRp8fWbg8oyG9rYp7pRHdnYEf9krSdL7uRoZT67CjWjyyG4FiP -uatDaWW5idG9z+oTKA+2TMIllW4+rfNqIzGZ1beE7gcpNeBxVOotbdB5D33pIWLJi9GBlBCHty+Q -FYxCMLhCP7uXDz2WEwVDxTrg4wn0Z7ZecZawYQNH/3S9YZUQWhXBjUH4fLp0AgPwdDlavycCkqLZ -H5npAnx91sNfjdM0RX+jbzDlG8317GW9rO6JVBpTm4JO0u323y/U6e4OiMWW/ecx2LGAGUoF3p1M -ULeOiLoCwPyT5IC+8GRDblwihIihVTWlVFHyJgMozqo/HAkkwkvN7AQ3vEHBed916DxowzMllYCH -swFaELJ1BDyCrlksVbtObQ23DMngHPDgI5SszBaKtG6Jy+/uzh2CVXhZeRocoUFhGsUMeQHGopql -ZSEnxyrChvt4apc4h48m7IOLQzJM6zFnA9EqTCwuQXqg0juon74HYjdjC0uwztvhW8zt06AJ2/OE -ia4F23yoIUaJXodVGowDUrivs4W4AcrAw9ASgsFUnuXvZyYWWivOec3OUQWnl+IW+9VwzETP +2nQI357+Fq62O5hQm1X40yjlAL53Z9YZfPkSTAekpFI4Zt6q7+GAqeUe3G+qQt00FmGa9FY24CtQ +XyaCZ6HdHIWPNaO4QYLn9sQ/L9C00Myk6hjCkxke8GsxGMGRw1dV2rvvjscHK42ERGBF9fipFssm +ZLCmwHARjxfu3JgJnI4y1hbVCRsK6xuKWFGIJunUaWTO2RUivsdJBqlsTMeKtQqGLxT7jtIkNIG9 +dug/n4gFyv7JKhz3Y6NF/E4Pvy9M9sN/sCNf8bmT1tfe64FAzqB14+rKI3byaepC28l01FCHeoU0 +oxdxNj19C8yGqx7//xDDZ3SogB9uHE7qc7dvKrGV9l5EBnKLwy5PR32Bq7xBb1VULi2kRV+r1CW9 +iwgJ/zQKN1KlkchCE8iVBg4Q75BbBropYevjY39y6z5LDYCFTsImeFD9UsfjoFjEa89Gyjst07Vj +qv6pJ2/4CFO2kymDFGqAEb+utkCyTngEvmcOYjB1i5Bla0+ouEFkwPs88I+78+BSaqh2dbht45PH +0TKPW9MRWuNdyMkslCZN0BdSEGMKTICHjap3NqJbATvIwsZE9C5v36mYHVY72VKPHNSlgEP4aaex +HPfuFuBC9UhoOrRgXn35jJ5mJypaQfMv/B4rxpEtyItDTQTd61mBOmQY/npd5aR8cXbyaNcJ3u5Z +PIrcwbLhwSVnfvpAu4ZqWu7IiQ2OtVVCz0rS77ryqfjO7fk83Kl4jOQY99TEFPeexgB+nW9Lq/TG +x1BjZkpmoTkUmby3ZIyq/PtgJPoDt9BrtNzCrrUvucj4+FFCPYqotyPeuyQf5wYa6i7pAZa5AzaW +6K4RC96qG2v89AK3O5TEKUF5M9jiWC2EdekPq6LWLWRJytmWE2YhV4SUkE/o44IBXPkUuErBFjC0 +de4yvfJ06z0XblIU3Ab7Z8ed38tlfXpQc5LXRLkeAJazyv9JFtzEFB5OZR0tdqoJSZT1JLkiWcmJ +gBKtp4N6l0StD8zH/tVUJIDNueHGA7gFTQKdQvaNqD5Vw2VEandwLbKp9ssFDRKac56PKpgxIybm ++Igig5fbNw92urEINfnLLKaOf0QQPtmFqeotfMhY+leKzibp9o0CGuWvlwwvkSV0zpopCfWBzYvz +pYJpC5eWxPxZUcID60AvZMOuOE3Q5UqmRuStQZMdiF4STWbtNmiGVovUtchQryPesYPlEvJk+20H +Op6/I4RimdHsxZ+Z0a/ZOxTzjfYVULKMDCL2/dXxaR4nwxrd8RlIKRZsNvlGMpskLuaYK7B0azer +DxhFOGlJcn7aPpgC59mw6kQ/Rug0aSRFmcJrXKQSixQczqg9t5/SS/voh0ZUM4fAgXOIWs5BaFzP +WAVbQ9IbdO83F3ejh3mlAVnXcGT/A7Y6M80aB4FjYGN94HyVO94XJ8thtf2DsmWtGYxS/mCJoMpT +ocgQHrK6M5X5jJ446Tybq+C/jxtz3Se9cWySPV9nEunEKIq6aK3ygPm2/2oAJeclwAR83p9o0sJ5 +ofQtRvPhWWOIqVcmuFnbzQcHTUtdQ2bLNTbskRdVfAVUq+4pFOYKOnOMZM3RnmL3tu6tu67vZAPG +vzwM7KIIsTqYRPNT8m6EiyJZNKmmeSp9HXbQ91+N18OnLbaSNF28G+FodbG6hQYwiX3gK6xrG4X8 +p10d4qirODs0iGvPtej7Z49A9nyBOJtDA2vuoqOwrEShDB5TPP3VCaZpGQSDZWgQSLYT7HXUqQvT +zqmTSdSRHW3ykmhcI0P4inmy7YeOy3Cr/UqeAZJVOH2VyzWrNdEsOsprzKJufLySoOJylRXdYfTT +6svV6JHlTYIIwJFASvwmlcqFWkhg9GNDna77MIJAeGjb2MikrKMJKuH2CiTls7VV5asi+/di9Dkt ++Xw+UoOhILvG446uCfoKS8WjwwZDm29NnooRRVOKFz8XMBGmLCr7KuDAn9N6nrT53n9vg1l0Ec71 +8F1l2i8i/7B2FBjyXHkijid0goyt1O+h1uOrTAOnwv49/0D2B0ehIDPypMPEAQTD43gZwtYAEmf0 +yrT4Y+0xh8jWbLmymqrchwKEbhPoDx5AJQK8DazbjfrtdvRJpycmyPuetqL3QO8zQFkC8w8fNsKC +wTdB1edRzRA5z/fJkLXhU8nYliY9BHocYxir13/W/ZDY7a5f8kM7Q/5HIAB9o6wxx+VK2G9EdjDu +zdqDI0SJuPW8TRB8tSsMx+WNtEoYxTbN90j8Mhqh3pDtHJy47hmCHug5Pjvs+5cL88ftx1LrEM3L +ApcgvUi3p+0025+mEvU/EldBPukY1vEZYylWfV4qgwPLIuTl86U++uSO+bktQJM5BcG3L6pcGqT+ +C+jbmsJB7mSAQNL7Z6FxfLFqEHYYXqmBld3hY4/q2gkXhtUtxzIxiYIRioVBZF2qc0N63TpWjeFB +c7nmquXZYSBwu1FylxlBLCS1n95veVqxRbqF07oYimwQ2UCvGpwtAEcBwVjeS5o7/wh+Uoqiskre +rhkkTUdyPKT9ZP+6dvWQYiC8eZdCvSWfau71ZEBez+V1jNp615OSpxCU7DOfUjGRRNp9XM0Az/gW +/xQ3DUXmp9nVPe8L+WbqwhqeVJTjO+azyYO9wSbauGWbVQEybn4QEVAu9XQHkQcKWMJmKiQWNmKt +IZWvfyZPJ71/vCx2alQnMo4ipgeXqpa4BvZVqB6ZvzSfriYiPSX5imXGyyVGtJz2jk59DDHF9yss ++v+Tf8+/IEz1ubnwSDedQGyuyTF3p9+4YzN5ILLC4kt54z+ngK4Oph52skzXHWEgSnFzHFgubMso +SAk8MYThDI/Xh0ZvJEoHE1MTpz/zelPzOKAvqGD+3gZuaqs00oF4mhSPSBOuzODI4KapjVnRX0dX +U7MjWSJpxJwr9Uf5KkAwwCCHpDttT+JXzeeQll87v9RZokZ/d52XlM5nF2s7v2tDmCvEVrF1JZf1 +tkLkHHi8PoYhMnNeuH72jb8lKY9MXrzgG+6ueuwI6VbJkY3QXajycwMl4rcqDa1CUSdHE+MEpNfq +3baj1nUUIP3SSSL1B/6L2j5q7Llydx8mmpIOSVL//nzE2w0sidtEDgzWYaKCysE5dT7C79pMsHo2 +nN/nbYrm7Iuon+0PzSNw9UgwkZrs9/ttWMSo4BrKboZRQEd+92+EYN4mhg4XShgao4XcB5It/vGf +non+pw12LY0u9plToskOzb4nVH0ih8cUUfO3w1kjMxYGntANXfUJ3xNLEw9RaFR8YyP6yk6iAJD+ +F/IPGYevhoZc5tTuMd4n21GPjYwZrXZ9zA87nQ3M7ROUlTax1AMEJtdp2AwVjnQuAC7dD91pvi/r +PMrkv59rdTHLlV8nzPrYTlmpkoPtz0EAVkrlCUH2eHAIPaY37CpJXAop83Nve98Jzw4gSVnbytMP +1CJjIM1y5pSahIm3qB4/Z+5zx6LiSF5lPFK45WML6N7reNNmpLDhLeFxn4+gcFQvGgGKD8f0kNQQ +GonQxsgHwQM69BM+ihQz3Ovru4Bi5pJdX24iqYrSV4eMW8Ngmk/+TxdpIJDZiEPmgNsSUNpj3ofh +7hIwGw54FW85gFmFIXb3CWL6RxAmBPOx3ES1qrNNkzujy9oPDgAK6ee8dLIPLkw2AJxmh8XRNhRV +bIRkqcs/RQ1Jmx2IU6kmJLLbuQaftJb7UlxQ9HKhLVK9qmuoQO7HTyb9xLjI2gqW9zZG1kVjjh8R +RNWvzhPTwZ5T6L70t3Xv94+SO0TSbkgEM3j4u51Zhn5U5fNUYDzWy66jLl8GlkPBA1fEYy0lHsby +w5xAnMKGe0o6xZJUVXKT7drN2yMXbBxg0YcrKpxieCT+RyvjjxkcJToNUb6ENuwuPulO4qTK+O2g +DHPoAftn1OdCbX1kGoshuG+qTonl6RHosWlD6xGDNvlnRmgA9Qd6RUUf5qnVQrhrEGRo7XVSfTTb +/5FY1RxeXyXnIMvgZcLIBPpb9IEjY0f1BMjXoEQYsjrb3Ub/zPdp/mfV0mYJ+zyBnqF3/uq1ZIuk +CzCm2gNZXXNWgAaq5nycdq5J0sRd/IAKUjJLIkIFhj1RhlsJJIh5GQ75UhMlbU3GqaYWLRWg0lDV +jX99hihAlcGCacPwAw6HgXWgDO3SNXGj8ICncsGp/XwxTJ2IlzwXfzNXrIWbN7dhh6PJjguqfO8W +2yt1bvNW/HRVcZbWtUqoyNiY3JDVWbQLxPJ/EejO1rmBbVCd1bb3C1ygtQXyClJ39V2rr98BuwzV +QI8/AgedCDUSkGqogPeX4Ha0CFRCcyzDOpJo4XikmBREhu+y/9gFuqiR14Om7UM9tzWfiXtJZurL +3qvyA4pbSsUSvaKO56OeEXyKJT0vFp1ei9qTaflRd8sm8aXjQ6IC/Ys2xR3sCysuh7ngNfeLCNhL +3wuT6Ugma5ZilWH3+N2E5QNrHe5ccSFP95RbXlsE8s+F63I30vdh5HntQbWMYksF72J/QqLXTv49 +CoDXDMKQi6LkMyeJCDoGtJgzuJ7O9yA9dunM6WAbdszurMfw9F8TfS/8VToCQklhyvSDA1Eok8d1 +YMzlDj0n6jXKVrCLyvCm9wkNymeoTS/RcYU+RUWwU2g+pJioD4Rvzp/+yVb7MfAFw3dOUm0ds7Gf +1ute6Loiajp0Gqi3UamXIADpijpSPFzlkq56KFw4KyIDUJQlj1HpTi3dhWXFfLSKw+q4fDf1s588 +cr00UNkp667R8m30l/fpoAc8sxYnK2sQ/mEEKkazseNXTSlUVImN79UWcVOamvJInD1MBL+Y6+VP +OR2cX9OBEJsB2xJMQtFg7cuCHgbBcgm6PUGnP3YZxicseO4fU3yvHP9VpE0ctm1UJFmmBxcJLO0P +RJEF8JVKmb4jKvdp5vfp36RtoKuQg6phL3stmPAEHqCW+mhk0NlAfBxno+tW4AQuHLxVud3TANFJ +T/95pPFOv2dVCP5hsLDtyohQ9B9drCBkkvLfH1ZqFvTDJ9o7MkGwExCiFzIz1pZoKtgnEXtBahdp +/ldzwwG/kMqk6ui3U0j+A4G2RkAtyPCnASm4ly+Ugcbhd9Dmykje5V+xe1Jn0rqqZRb6qNgclleg +p9XiJAsb8GnV8sLXnrDzeV5w59Li1huVxv4IQTwIT/iYufRwczZkaaKNOKkQx2ItPFcLeK8GKg5Q +ElpFsvbexMVikfnaeTYKaISoNxisReO30gCGf91bSTAisqw4WbpFxjBtzWolMXIpAjg6u66gwcE7 +D8oRhy2Y9/W2ePd1z42VwatFkKOHQ7d+ygN15uLlh3DESmfghyxqDq7CSom66wXIXeZc4c98Oc7A +4s/7Jrve3EBucp/uCayZaD6JjLAsL11EtYRDfJJ/0MyZhMhZwVOkqt6/lF2vbtrTcqfW5fHpezhU +kkYuSyt8ZGQ9m0fs0IEZmOHGwJMWt3GPGkYMzpFfQ5lrSxaYcBWqQiYN1JzfKTUdXUtHa0iBh86C +IBUMygtF1GmMd8raAy8LIwvSrQ6Di8wGRu4y1+C+QOQXVpYbX5q2F2UJvMM4Og57oGxi2/vFtJGo +LKCJSWDrNQpSz6pzpyQjcyfg4xuXZPOSu9zASF2AB1XzlKBGYs7zJrBscH+e3KID9ZyRGGyckZnI +/SNe+s6NBFf/inRw8DF0uGeHAVrHvN0wuVCBmKGRucSef+0V7ah5kITvmcRYLK3srT6B+IKK9nvE +UyRf/HxGPl0uvoD2cLRvOSxfJ4LLnXbjxcDyhcZOhFp3j4gymU6v4/qOIurPNg8nx7Muh3kZYmIQ ++Z/YfATQIUbpT39VjAgunQB4A8LecfwJD0tTgW3rpjgtqmWdZ3UXex5qZQmFCP9CFA1l9V0fjV+S +kh+0SE4E4Kh8drC/P8Xbu0+LYV1auT+0qlcLipO5AlOcwgHIH89GS+J56zkRqmS6mWwGTuT8xGUX +FjgS8iR5ePg0AchriOdRkeNPQX5G3NNpA857ZovhIm1EyVK5fi1mvKZ3p7uLDEc3AYCpxnFrXrcB +dyTiVJ8QOopYQcwr80cDgDqJQlUWQR0wdUdl6qk5JX9IkRX5QI3jxbzhWE85eJAtIMfLjpDPzeRU +Vwbx6QfC94ggMXdxO370/RUcsEZMUjBfxiPvp9blsEi8jlylzgwoF8MDgKEPb7ZAHdQ6Mhqsj3yW +gOva3q7AoGyyDFEYn2A9suv1ITjZ/m4qHy/gh6s1xs60gStnZrSooImoY7A/c8CJfyvRWoNdKJ// +m5tDJUpnqieggUd933TOyk+niZtq+ojZ3L9KnMoth0U1WVqKGiaP//8YUBDI54fx7V6xclsjhyfz +MycTuN2GhPG3A2zBRtpdsVLCmu3t7kjaRHbCun5xUQUlLsDUzmCYZMZobPZZSlS056jt3lM0qTOj +icyRrAach75va9QjooCe7cWtMBR+2rBnzAF0y+LGncmkS0HEHNNN/wG1E8EUKvTqpNXHrt93AHSd +g3dZSFVEjyDSXaJwTTpYMo0PCjRK1QkZ4nUS/BWGXD3WVmUILi69KwMwAaZhdxQyHF6etlOOJOFg ++CaA+yLFZ6yqqqTT2lpanEhEju62zORMrCcFjO/rM0kvV0LN2hWMTpWvzEP6y3NqiH1bGX9WHdYG +HkpwarOAkidayfMdDlCmA3PkIUx5jbj2bIGDmByNVSPXpf8hcJnsQFRi5OBAlqIlTKz7SEEfsHQ7 +2wxuUcCGcLX+dzf412z45CEAQGWGowoF10LVbJnHi+bTqZWrxzipJqnQVZ14+yTUMYqGHOeZllm5 +Q33SSAw2BdYLEP5vDpmn/g/o0KPQBABeqtArMJMQtj89MRwuI+FsK0BZpOXsLeR0+dpPtcGmua0C +2oJS5o23BGzR0yzunSG/gkLKopBG9SqcHUI1IrWVJk0+h10ieLgH38kEGib2CHbXHQ5Ts6Gm+ZFF +/hGqkR9hjOFVpuzhsyWUZR4GXsEI1XSRyqTtsFrXdZ4qYjvghiXXPdMgfTntMZdfZ2/HDdmDxcqN +ZP38htRr+H6qHh3vqdQrVmiFamgIVClbEKI69KmU7mt/dWIbweWJDtupLxXprYLFp/FfFdTPxjPi +EYhtfCfk1vNAb5pkVcw0jQtgl/DPW23qbi4eZMVyxpDWbXy+FBJSglxtaLnWKY4vwh6N/Ee95NPe +pBu4uM83XZ/SlBxXXcBFvCxmi8PT8rsNO99Qfmzns6B8aALRhl3DJCgghCT8EA4T3g48wiZ2cNQt +pXNX93V1T8Kuc1l4kbh6jNf/lR/MGlDhNM+iUao51T67vNcA6iBKIaSYjht+1wXHM2IiDr3HL/CJ +5gsh0O7ioo/QDwull2zUWqKUGgCn0pnTw/HElT7Q7H4+zhvgtKaleUYbRQYOiAvUNIoqT8elmTX0 +0IFLvnn5jFVKo+QZphP7hAJukyx8MlDwg78eW549OroDcP/TGUT2UMpfEgcLIjF1GHSANPhj7nS/ +NjElqkSF0JLFiECOxB1qXuI4swBnulzpegac0R3RVWDK4DZRttBzsdz34AUrqh2Q3TtjdK/pIVxK +O5cFa67l7f99rULShpuARhfmbWqCu0LOxPZJ2enFo1bBYNB3RfbkJZbfN4rqMWI9xDISwMD2//Qy +7eajpvD10Buz6iY5dcpvbOndVvPZFRRL0lAVWPf9ZUlQOv3hGymdwpG+bbhkV/0NGO8Jn7HS1YY/ +F/ehI4gs3iedvLCC7hUtyBi9N5on5aQnhS6zE3WGVn2q7ZZBR1p4P/g0SF3CLC0th3Hv16AVHPdg +Ycek633VYoo7QE4Jq36XNTL5j+tml6vvuS9Mg+D6GM5t0lSu7xF+iCsDOSCuaUv1C5MFmYLFwnL9 +e9Y801zd74Nu3DqcCjZXCACrYFWAJmbIMPhYMVtqxV0awc97/JBTvAfmQNqm+soKs7ccokq16PSZ +GMCwf5g0JL3aQVO7p1XAHwfdaetPeLfjfHNP7hzVgoyiW0lFV3eljc1iotOzGDXiDjLAJih/3xji +l8IGZsoHH+j8X72zYSzd6wDiqnDS/NAP2RkJvylWJ8YG+cj71qvOMHjOUjIDZhiQ4QE1uJJbdN3V +nczOuf2WjzEqf2elqMcQEflgWUm1CypKLYlwORFX5v0RJ0M/zrHNre+ZEjEpTXruAam317pz7m/h +qw076rRhdfhjwMuDjFZ6q1kAsUbMqZRZW8XUE9lKf4zE720o3UP5sNLSxuYoo3wc/J07BuQq/YEK +5NpUZ/41gaE9kv4vrwIfayXqzv2ruaoIrUbKqTCeXuNQzCYbCRuI4fDHKUH0ySq3gj/0QxZp33vl +EWZojXTBQYv85KE1ZwjBvOTmrsWCwwIG/uZ0cVucUk5J+76J2VZ+yY4eTnCdAXu6rt6xuJ5lksoR +k+ldfQ0SZG931OseoudyUa915yh1AQXzS+E75DaHjMiOQ4u5yuowSFHzgS4uAfOFV6jpXowZoSPU +PCsSsiDb/GrFr7hgNQzzPNZ2Ci1t2E5XpAAONQ01auTYV06tsxfP8nwP9SdHMKzW1D8/5Wg4OUvK +oiXd2iR0xh2X5XXkO3A68cwZ/5pb8w5T+agAcXIjifw+vbjF0K7Wk5g+AL64TkLrhyKud58ErqrR +E501tdvCgMuGcKEGXecgcBRy5/bQZIcwadUcPeuPpHw9yFHO7Hv5QooGNSEFAdDE0j4s4CE1NOlm +aHwH1pc4D2nzBuVD5EtYe069rdxpnRcF62dT+9Ibfo9pBlnXxgz5uE5Trp7pHvzOoaYAYq6H/S83 +hZyP9P3r4rmMVt5byCBKZLFCndDvWpac1kGLpcMRnJnXq7OydVAd85WP+9/xeBjtOojsuOt/J1fd +4A58IjJXKKgFEA0m3FLjUvKBAhHpsfc+MwhZCZgihj9lpiHwOtrU0MzU1yTUjrOW1jcgX6J3t5DM +bhKCKYFRSRb8xxeip7k5+9rk8U6dDctBt5RYpTg7H+1LAXstAiJiJLbkRwVqXN+fCSpoKSGpLESj +xnMC2tIFrPsWh9Z6h9IlsRTS7qUJ2ypQ3wZ7kxBIuPC9k1fZC2fHMo6iK3WqdhRPRHr36uNR4I7d +Dk3zC4Tq5nrmugzOiZMKjZ3eMZ7tMyIMAIZ7caXELswt4CRs7of7YLJf0RPzfNoOyoBPqlalF/rn +cn6tYKgzleE4QNcqdJ1nRaRljq1lg44Uzll0gOA7/lQ58QRPIVNjotmiKWn2fdApmLrSVXrCHocT +7S0UaoReh364dGmbh+7pVQfmOJkTqh74dvWUZ2WGyMLJfcAuAlviETma1CcBkCnueN9Us7Skalyf +yosw0lktC8kLvsBU+DqS3bDvZKMKOHIpDW5tHZF+u/HwysxNBToPbK9VM1QSKfUuv5wVtlZMN6Cj +Cicznh9x2B/H1qNjMI2UxfHlcFhBQom2pTfkC1B8u7Nc8GG8iAIUiJu8BfaZapzDZdGQASgsCe9I +StZLc3/MAj4xrf032Quk17aqMHlpaH+gU2sgUrSa7TfA8gHIDWTRzB/JOG1Qb9G3MSUbUmHRKBx2 +6LajCvX8ig99y6N9Xc4NQY9tmv/tIhUJVMf8CPzuK4YyoIpJxckDb/in0B1/cnlL5uJ9a6802buF +iAHhKOO5TU913LToDJSflKLG5OhnKgMJj4nXSPv+muwhhVRlFQE0cbC9geKFJDsYfCHHNzm/cy1g +9ET5BiaQPVKpW25BE7S+dqkN3ckbkOIiJZ1HeUrWIRmU8VsxqxfuvQS3U7+p3GIS+45HWTXD5Mbg +M3gv3Bws6+xrqSIpQjZAblYxfYekPMAfrBVg8+gw367rGPGkhXZoPpQY/R+Xi/lB8yijrcfQXUZy +dR2vPEGGjvslhx0zi/WFpbF5sIq+t2kcVFt63oF33vloG0/nt28GMhQfgQyoCHZ0ZinOIpy52HlG +S4JjlUnTCx/oQpY9WMFCp45Xwfk2WgyWzHZ0B4MwuJ7AEcXrlRqS+pWJY5Eio17CmP+OX8TQmqHy +RNbT/te6uPt1SWLnIAtt0eI9Dzo73vHglfdbbaDu1dMIurL21Gd1WEoYQiZ+85/M7imELE5pp9rN +GUklQuSOyAVrLHJDghoouvt9dYwu7GY6SB1xQyB1ONAkgPjd1m46plUpcFpb9YrngbX4FQjYL3qb +aMHH6LqTW2LL8KqX9OncV4YKv6hsmnv0ESnResyA3MlEMtwsM4uk2gYjJ44b1j3dF2mPLT0gJAJY +FMMl/P/RwTNqc5ZxaCkMowEpoBFm2oEkotJZQRwM6EUbJAC+qT2L4wJkzDo2270Gsk6w0axdpogM +Wc6P5e2u0/BqOwlwpi2y4IirCjCPWt3miccHx0JFa3pVHnMuwlRzwrOOL5upH4zW0X1o8jkHRThV +gacbrcEj83khjdyXhXK+ZR1hcjzo3yy+cqxkDnfFchIGO1blWLFGwPjfYZh6oAEaNYYoKib9hNGu +3rSFaAj2JxmLYthdSLPsZpc7wwzdRzruplKxY3AxO/rGQ2364a2ECcE2sIPm5gwMulTaXfxfOw1E +Du6sp40fyAMjTRKox6z5j12y1Z/ZrmpRj1Io3xIybxQ5ayirwdCe5b5tJlUWPCQi9ABPFQ6vqgPx +7F6Bm10WZMeTjuNVZhceUaG6jAdvSB1WqUu+lhZMtl7d8zTYywZc2m5Kt41anTlV7F0D7tyIX6PB +dblatjTkWxuQdS6wA4s6csy0lKm1CGCHP7CqwNQ0jN4cxU4Z1xcOlakmYpR73iY1AAM3ZmwsYfUn +Wec84N8c3oOE8iEem3vBYwxzUPjVvhMIkOXf9GcAo3sfTZWYopYMsz/qaDpwmK2sXoEsii+Ynyv2 +zufG1Utm0JH0vwtOTfvQPN9i7GTgcJDA/r9HVuBjKkrqHmFTOriSPPVMrPA27vIeC7bKRj90H6p5 +Krotp2xraURh57RQGdnfgBofyUDNmTXrEcVSKZkp4yelduwbm5i7zZDWmCkGXNgrLEqldi2KS1NQ +lXPOOBwA2aYrs/F8r9Dtz0RXW7yjognzA7MTpfirhTI9oTGNFYJo+IWmJ6i8ewly8/k6zrEwA0LP +Deie2B7ojGlGTg0yJ8wQ7/V7oXJHNnRiAUraXjT0lECAVSnm6wzCsv7kyT7g7igKU4uV1j5ane6K +RqppgAgrcAXXJlEFJDxn2p1NFiPJh4Q0/RpAjVT9j8CUR6ukhVuQ6ZPa2kLmVrgnuwEWSoYAKII4 +9v5GXs2LQ1e9cOqsyUs45VOov5eHEAqN6W+cCV1alobR06d5iF1sChUgnY2nJKeot3lnSrq/J6rx +QosDgT9dvdbEupSe5dDUpn2ZHLKqNHtDu/hlw51zxQIgCUNK2XD2opHJ/wvIPQkhJqrFbj4fRRgZ +TQrH6p9Iuc3zqnKF7HIP8hhoqbxdmCnyQ/c2EkVZfxHz+n6fgG9Nyzh1UbUhc7450CkBNsT7/+zD +qJJizRWpUwgbKzifXm7sedhDfoUPIJCfXSxXei6L6g1CRrX5HJ+SlR2VlIdoM6+2E4DD+kxHPFlH +hdGolu4/EisxwlLcBBH2U4cUt6tUArtNyWRP327Jq5vnt7hDqD3EV0R/GLlhPsI7JCczBetM8HcP +GYGO8wF9H0kcfOhhmveB+KMSTBZyAKK2MO2LwbSjG4Vv4issTjuqPH11+SJhwWvsscPOe/X9cMmO +7VYHjHWShq8koa5aagJlC8BSeYC/97z1C1A9QxrEYfEI75Njre+7ifZOJ70iiVHheVz2Cx7fxa40 +GFx3uti2Nkup8T209EjsbozU5uGv4QZbXuO7LfE9iWHZo/02A4uZIT9OeXEyVTSlJdmHHUQ6aq6A +VUb8+q7wapwF/Gk6KtVQ/IP3/Ebe55ENjvyc5gx0Us2uT3PkNlzzNvXArVKZ/cg2iKZGPb/cJwV/ +9++utfuag8lKa6qhLR/hYNi4oJfukLfZQjjdnEzVeG1rRaS518r9sweGWbnj7QJOnyMilKaFHLCc +kER2N2hrUgDz5fdQiMNx2fqPdxWmuURqLFPVSpiikA57DSi3wM2PGPfZBiyGvYXk/XcVZVJvBbnw +hWSnhEP3bm38v5VR4CpN+2zjKEdTb+eIpd0kfqBSQYp+SlCuvVICCyDf/5mTG9q3A/rAPVwpujW7 +0QYzOBg/JvE4oD1GGZzZWMJ4sBBH2QMXVmIx/5YGsrF+mavbtDiiVhZ1QeCJ5+9DnvJuEsncgRls +gf03K1zO+o/RYU78umTRbJRWVD0+4RxckM3gBz2TpJCjr8fldQSEVDZ3ZlVGwcXi1bMr4htPAfAL +rQzfiLoImA7MRLrg2N644KstnrEmFvIg+Xyo3JpgZSr8OaJNBlgd6kJtpNrjP9+4vijRtAOT9fXA +uAqpaxvDLhTKmV4Z+CBz4Z0i2OeScSzJQ4tsz/SnUqM3W9l+aO4bhz+SUiJkZC9JJ0FZAImDOo/K +XQiJWZV/rTrcVakL3MiYh3GcmMX55Z8JRiWtxBFlKIj9Cb45sq5/SbUnSo0a9gic8ByBvU3Ed6MY +FB2YJ0IZXIKBwLnxGnUpkbuoiIBqAUCpp+8AI0rHbZvbtiXoowSwrCeWj7Dur/U7Nm5UXWZI4hg9 +TJHBGnc/RMzAzVdMmDanm1qm1kCRSI/aSS5W5oQxfmgZDSx08fXbhVzTFMFFEUkwUc+Ctbzr8AVY +QxcqA1OUTsdqWFdQhkbNE6zjGi84ncPW5dUPKzDZBRMuF4sXZ7IcmOxgNN7xlfR4b2sWq1fSjkkx +iCbaO3NiTSF2bhZelFZ9qkW9P/gmgy88OGu70u57uWlqRNywKqz4iWmd3dsxZw91gkMMQmTe7ow5 +80wpXjQq7qFc+ajI+HRyk5918Wk1aaZ2hz8ghjCamzhf19z1E5yOabSHC4btw+gZCWF3zJQh4w78 +VzETXEl+5f7c1/zSTdLKSNt7a5BTZ/8QJi0tvhr8r7208Zv+wYEXBi9e8NBP7bCPAHTzzQH/dOBS +WH1A/FDXGWFI4a45YZYkG36njHgjEsqpPC3TUPh4Esy78gz+E6d/7Jn0/g/EYSyScn8ZjAdndDIr +zSqOkr0vFY4DZ2TpDASo1rApxQzkxcr8Q+sYX1Tm8TrsKp7nlW0gAKDkbrgfv5BEW3UKcWHazmEa +orK+L7cgKNdw51svvkfpmgUL1JcymQXoPgcUm7zHxIt/jTiLXQlgFkNDJokNW4MBof168+blmHgw +ogYtPm2yz2gaHW357ks85hYv038HK7ZbHOwMo0nHsFdWuUJ3Idg3vSS2Hc3/+zqUuNg+i7muAp4d +YA8P7GY9v52bMLpEaL3bQPMNhN4+m7NPKh+t8KrjBafBNHdwyzRfFPZ9OFkyBvmwSZsZS3Jia3a+ +j807bqnd5faKvOTYfNPhvaqWkIXFWI0QK/GIjZoXG7XoCEWjK4aRQTNsojxgCMM3CFYAaF65A17O +VULwpvBXQjH6AFxZYocKl4vp1uA+KW5DXo2k8LJxaepQDp17J1PHgucgA3oR8k0wX/maS7Gyf7YZ +lqJWkDJfb/8JY02uZWQLyTSeFH4SMO5on7AdsA2+QmP7VC8bAsdSwZjn2d2/eXoV7Ty8pICOEqIS +y4FL6Ib1WATxCel/YyAd6+9qHBiRtW5UFyjob7SVSFBo31SF18vJ6hDGiSMTvZIOyhH36D88/VQC +p37KetgMxl33zBaFfCR25BcGeqJrxfceJjilnNI7YcgrGioMpkh9aKHdT0BRAbAweQFnsrhHynts +MHbu08pV1hniqkSb9YS1SFy6hxxJ4kWaRvq92EwCi8aiZKVfWuMTq0/VUUeWHM6fVufXBEMToyYB +Ro8oJNE2RES2ogwpBxgvNGPPVcC8BVOGeWM3E2zax1cfonA388U+ot/CdSdgy92JyM17zImonWxQ +nqXStEuFuhI1nDAfFS4ybZF2wZc0HuVhebl0bGmbMrrjqw/Hc1Sg4JYQ76/XpApWXhzNitH94QDv +kzYJFeDGv33M8EMXKx+nWt4mCIXolAfcA3MJi+/aKAjRmFbFcyHRs1XaKR25Cbq/tu4Nvk9SLDK6 +AUGpkJAlB7KCVIlNoonO/58gR+UE4dDENJSmzba/NSKYBgcH0zohvCVYvMQExEOqjCSz3Dk+9+d2 +lEyARIRRdWhdV816639v/9PIQIyC7d+MmymUGK+x1jiD8MIZmXLpnEUx5kk8sAe7ys/c5en4Poh2 +xZKTm4Gd7ponaSQe+hXQloT8X9+g0ElWXKKMRWAOrMO+hS+rQz7YSAEyXU7GHZym4EAmdksCeL3b +VzST5YGNPbT06Sn94wog81FJcYamaThwvo0GNOy2A473472WXWpXspd5xpEqFc3Y6qCmMScBCpzC +SwzK9/Qz3LOo58DHW5yu/Ud27kB2WdlKE2FQaEW8vzkGzlwL6hDtGkAQAq/0dxDQ23/rX5dow1eF +4QsEb6GYai0ujchIeISX5gdSE216by3pW2iO0tgpitEhZEy0Ko3GOWvJsyOGcwsY1yt0NuKoiIXp +zFqcMJivKtCA9bWt1Q/FbGBjmI5+K4P1GmfH3LdXANqxtrgEPt3UvZN847JSIYlBn/52rO66lx2A +o2mulwz2NMdN2Jew7mx2W5XDLONfAIQo3xYB53hmZ3dxJ989vXcaplr1M98gyRpyXTFX2D+byqEg +CjVG8qn/rfbDyGR1eAQFP71rfGhOywdBzpRX3idcD+M7D/thPlp0nz+a5dSjiZX6yWgVdZdYTLrU +2/LLZ6Mx0ELAr6LEzbIEY0FeNPwijC9oveBXUPzdJTRKGSKXUnqsKKTaCDxnNPAbfUMz6ThyqOlo +j+2jxBzSkCiJsV5OoZFqI6puaJqVce0MuSswVaUn4E3pBrskOwvQFzXw6a+8UEd/OyCWdC1xn8u9 +9cG0O4u+SaQ+Pfg/64M6fMnaBSOdpFhOUAhrtC2xMobsDOyufsfj3AToimSBGcvcMX1jR6xYjvOv +27zYfLYTlSgEH7lbFfJl/RV7k3BnkaicUeDO0ubu9FJ6K7yXW6YmzNMqG6XN8cZrMQr5PRsy1SJh +VUE29LPInsm25b9jP+MfASVF+V6u8lsMjedKjgsaTSIObrB5+RPHg/ESGBMq52MKBI+TLVejEl3I +bvizvbUbkOxl59C08xPrW10+5ImTisC3lKMdnMSIh/98VUfDVTAqe8b9tK2qkWbx/lByssQ+KoFw +dl/22AbVbqW82gUP2IAaoswi4kLpiPruPj8jioYUCpCCEh4BuGjwCLa2bTaNCaICx8aQl9cnYo9D +g5kAnIx9PD8Nbn6ENcgIwfehBjVyjbpA7Y2uzQFHVj6br+h8y1eBnkE9YVHmWg9WiW9TXQNXxQuK ++f6GddXlAKF/tKS2b63yPknWKlgBfGMvAYxKGQhTIEFcXN05tnZH4THvfSvlY0nNvdCDrYVIBQkX +iTgbQ777LgKH1Po68XtUlZCO9TcuigugmEd0vNnqgs/p014NuZNopZf7RM6z8AoCDDhX1rSDgiQL +10DtVOANzFHg9CyOXslwwqTJV0Sto8/Lfv4ERWF/mAowAE0nSkfV1xNeJ2Cr0inUP71aT9Zoba5q +WLrg+TKXAtQx/y2kKI2Bmy2pgPL1cD+RlllWo5QPv+guzwjwtfFrXpvF4COGAHY4l1Hv13wvDJhw +HC967CrqOs2FZ8RCURmkSx2L7YAfyZ2n/Y61s4NCMWEkW7xkB9550J6R1f/1zN04cF2JhbxLKn+E +1FiZaDkDeIKLgMnwWwk9H6HGhNPi5SNs9FGXoCWQx74XpmppniKjjsuNKXQr0SRMMDlaaSk1KZ/e +wi4VnTwUAQCPE59490kkQRSD6QMY2l89QJr7R+7wc1AngrExiu0cayj/CWF6X0jtv3jr+1N91TGW +8GwD1U8Amc5Lw6Fb38zSnNxOM7FlylVQZXEqWTRN1WgPJgP3LY/3c4fsJ9jC8iHtgNjsIxkX5npN +xMEUtEVunFxTdguTq/X4EWCJ9aQ6a6ueDB+9ZKIiGteEgZtQB/SCeVPp+6Pf0b4FizadcIvGX1ts +Rf4Li8UbhhCaFImTdfZqT3zPvN1ALbpbDEst8nwtVNQePZ7SWfewBt9+VJy+021DdyyoiI28Ig28 +eJdNcjz+i5ZNmxOySNYu2nwzwRQtL3DAz5MYYB1YwP4t+ZlK3vlXDnVz0uucmRoUm7FGeXbANQ50 +4EnHkmZxNQrZKtvfQ6885n/GA7mAAevpFiJ+mXuYZQLuEtkn0hlGrzW+7gCLaEj0IAGenmd/dgGu +D8NpMmurL5AFLCF5XEEDkt0GaPfpkAy9u+iVvWYGMueunWSmb+4EzNYm8Xwlx2ahTWjg/+vTHkQz +XfKESntJ7VL4AbccKWQ/GrINM6ART6oQxT/bBdy32OMWPRlUMaBZOWYz2qrO5/lGsWY0ettInvzF +SH1rg375XVoaQ8dT88w4ATdJbfZeoPy2n45i6/uhPdZuxEF0QtCRypI+1Zjh5q2jiKkzAV4yS0or +cbJpR/GBbDbEe0nhSc/vJFV5Z2YfBvzCOgvq1hZJ+VSK/4r5bArFsXfvP+JuM7CmJYjFuxyraa7p +gG3ALjFHSSe8Ko6XxGHUyvpI6nFb6M5NgHnGZWKc6TCagxwjmEj7ATpDsjImtODalF1/J/Nw5X8L +rYxuGnFqUoefyB9VLx8WXWjlgiD06Oim8MF29COCs3QQZbJ7rAK3V+Jd91/F5vq4lN8cZGEWI7oF +f6wFT98ATwDb/nOtkx2n/BO6G34DDGaSfDjOiLWpiM+QcFgTGcnG6CEM9RdWHpGicYHLsW3tT/bz +N7JcO4w9zl7gGt352Ffg5OkW1eqB+NC1WFZbcMjlIham6C6JSzDGBQIKDGivsVMwDRxonGuuvxMm +pPH1DtUUyCVtI2N9eVZNW7nRZMhZEU76B70uOsM3DuZhV+SMHDLkb/whmeTI3GnNlXHnVrylq6cW +RsDvULcYr6wkrFpNODsnBoDMfT2198APuSoKff9Vvvjlr+Lpec+DstofGbox9GgQSob2XnX7iwKs +5vtZ1k0KnOB4tByCNU2obXE2itRQQPzt+Oqrzwy+s6JSQq1P73Ff64Z7UT9kyt797L10rVHvyLbP +KscSkUa//ZsyLzwAtQny+z6mGTct8fPIcCwwc8M7nEwM7M0C4SKf6Uj1EHBcVcUvGgDmzxA4Hfko +kO5+fhLoMJLhTG/IxE7O4vzzwyawNGD/TNxWCT+eHmXXbNhZOFVdOJIFBTUgsENHai2/yScZqFd7 +Ll7wjTEymneTE6Hi/U9HDNedXJdH7JCw9QGvKHEEgfYfjdsw61cNuxXiNvEKzMekKPqmLjGC3z71 +Zc2E+MQzLwztxSYFNRgn3R2uiGRrxIahHfbBHTNogmqXdXzVQJcKQBTRSD9OSgVPgHufL6oN1XjW +NmoZeAomleRJsy5epOYbbIxZ+hIEBy+Kz6d6knEkc9VsBAHr22w3QUs+Xj4Aem2SLI6LrdbpUFZJ +AB7yKmwwsXiyT5sr1EBiuIDkTKQE2EfkY1UrjZusLNPUR7R1GpbYfOo7jRVtbDdofggaheqv3GAf +aVGI8D/+npplFBBj8IypM0qmXnI84c7cA4uAeZrEYo3L8cntnbstxIzOmnhZ4XDn/7ANeEEKN+VP +1bHbeoXSc1k77H26a9qGNmuvh+n7kYw/7VoJNEHAQlBiSPvU5idDn1z8X13Tz41aGlr6aFLmmMDY +0s0rFuXw9g7D/OKovudQI4le7tJ/0HKeh/SSqblR+hpvgbt4O3kaAEtbR1yQrFINAmP+bpAGw354 ++Bj2eeKmp2nbXfQO14j6E3ngpR1uLH6vuTnc3aGdKzE1T6RDABeN3iPTAoWzXJ7FleYGmADInPiv +LP0JllkIyGMyAnfEZH48f7UGa3S3OE1bDOJEYk9ts23qWzZ/Gb0VRod19K60dQv3kwUgRaNe3yGL +6Yf7BsqmPKUYuYNZOCoBG5n4sVt8t04oQiThcD/jtg0tGb+N8czY6yfhrHxMYJjABfrtHK7HuCgC +NKPZfN4KTy9Qxdp+ab5g/QR/O7t8RSYO5lXj0HxBumHTdhGeSxWuhYmNlleVk4N3CheJv5pnmu9L +OjnZBBfZBBxHUEQZYo8N5UXFAtpKPHtXWLlPmDfxDxY74M4hqUfxuJprCPmNnUsL242hEILam5WW +6lrl+c6PljVaAiTCTSkqn+An3I3qjd9sQm6iHCX6aFSQZ9QAK9a7+6B7uZzFJy3UXI3Ue3JtI1AX +CE6rD64jaXVqdQMIpaALxcfIYRdLURME8NqMoyAxiV86lOafXtJ6mpwbSWLbtCdQdzQbKgWmdy5P +tVr2tIoQyMQcBXlef0Fag3LrIfMWOGbvm0gp7fbcnrlArf5s0iqDN0Px/pC/yfNWoXiMnDbRyBTE +eHByQS1dae68r5acuOSJtgJ3P48GEBH4R60zrKLnFarR7ixgZQ9wWuP9zMAdLXvIlN+Fctyu4mRO +AmuMT+msaNxysYbqNbP2OnPCu8Xgtl35oy/9wu2UTEZBI8oQoZBewhi2EdzM4/oj1OdmHa3PJN/i +vfhC9ylCIk9ATrtk06NbcbhSNoT5HNVQnAVtGCHmcYGEbHxR/p5VyUwg2m/ab2qEKKvwYaOLr4ly +5dwpkQ2hZ97AYgnOUNXsYb028azvtBfKncsckdv706Aavn+zbE225XZWXuWYV1YO7naWZF4T49xO +QRB1cPszFafMBLr1tfUoIhtasNvoCPeDTPYyrkZEki62ThPP8/w3BczgE3mYk/jetTWr1Cs0uvWs +nVhCUT911SYbzPNUH8YuNCwUhezw4QLgdReHxVaTYD/FG2zC+SjF2zZ04IHopZ0n7ImW/w5oxAw8 +8/ZkPGeh4+JHIlMahlsqYghUPBLEz5+ka0Y2bmp1BZCUbzSfO+9RB4rtjHjW6kwwgil1rQnL7cAm +ZlIHt3l43mrCfmPnxq1Jfor0DMfUYiZqs+rUmiwxdJ3Q43AyNnMq4uLpOWPMiF4ra/MIoABrC6TC +BPTJ/Gx0l3E+LCNqgFumIMQHxljLYfcrIC4aPpN7q/Fm4GRnB5YjmZEqZZ2BKT8bKY/WFxVPThZT +7OmC+Dj/hiHmWpmo1qdROAINatAw19z9uG+MOm7HA8P6FflKqRmZBDG/y41dLPJJVPThT/lzTdnV +blxqZFpAWTmkA0iF55VHTpLr23i5Tk/PIDICBTsMaeX4zOSuCGwt6psvh9dH/V85FIogi30njWAl +C9MgUTLDZIOHZooaj/JxEa4XmCX+R/ynOCXO1YGhmSDsnFpyb9nYz7uGUtlQcXlwjzYSDIo24dnt +pvA5AxM2nOy8nViUUUzIJEd6f3I6BT35OSnsMRoiYcKP7tVP8edj7/xsmUMu0TIVhM0VRl5+Hr8Q +jxXxVahJpBQ/P77FGpzn4842ecCGzCHf0LzD/VcfwpyrMs/nzvuUernY1j1c2R0j45mWGnxB9fi4 +nM3zVacyDAszWcQIA//mJnBVhV3CMQEge3GnMW9p56kvQynqytUxN5AYv+wNkXzyscQIXPM3YYtX +7TMVuMEfdTldKaPTt1ytJ2jEdUSXYc4JxafYJiO41lVxn4ydMuF73rafVvoM2UcAVbBXrAObWNeH +q2+GXjRo55vQBtemEHj9RAymOQ6o7cRk+UHI0jIB4omFYSSPeEcMDRmLSxG2oghyWtDzHXNJn8Wy +remliScZGGid51K12WUd/8bWXiVDoOAB75jexqq/kex1MCCzVsVXm6yj1XUhX/84W1gN2ExkMVsH +q2wcwCaGL1arBZ+3za1OWZl5MrQ+jGktFShX36oWRNvU2hKYHLiSMZ5lnFESJtzw1vnWYSn5Blfz +r+GjotUFdy4K0doRgP0NROmG8it5U5tm+E4DsAziHG1pAN36LNK5J2EksP75DuUM+fOAl+zi7TVe +qf5nzoYoJ2A2Ve0JckDB+84szslLYhurjlG2i24oKJprk3Uwhu+ep3mqHQEmT8svxR5BfwctVKdh +npgf0G6Tp+Flc7BpuHNxZ+YokD96hZaI1DaK476FbcQqCt1GT/tY1oZQZekriARXk9/evt8sCbBz +4Y74k6D0L+KlVJEbmOlfF2tMVWtbUbLynOxskI6UA9IC1EcF59liFLvMFOvfkv1XuusXyQQVGbd5 +8FAu4VgIInyOqiTE82BUNmUCAM8ZFAt9HAKw63jakFcf0GaREvFpS/2w45j8IUJCaMDKDqxQk67o +AwujkL3jTpbpevsWNzHNRfLDckRQNyRk3Va4eg0I52uiuOl8svZbOgap+4PqfXFbYkUKma99DU54 +Qr8+NrUtI/UfwbaB+frM1vGrYMIszCX8gS8Fze1wCmv4Gy3iJpDAz4/JZv2C7x05F7F4T6yY+njt +gL1FdIYutywdtcj9tw9fFxLwOFPDSIZpF8OVHtufh/JKiiJDMbGBgnXJ5EIROSvXtfFjGpDnzWVd +krG/ZSiPCrO8dk5CXBAc0AZ+k7s9La2NGCx0FnV4BNQJU8TYcn5rIq4P7MhGr9LUsd7pPEowIjwC +gjaHx4Pck1ub4m1yTp1TpTFHtCf2ZNO5OWSvhhqCBTgBuEdXx32gFe8awtto9RetgV21w8CIkIq6 +66/2gf2RiAQtan1l2qwB2UMuYFnqBaLiIaArDdCYHtxqIp+bQWbc9dSNT4X8cRhJcZmAAjaY7JJI +o5UVv7XdmJp2/mG1zd6/DKye//r+TouUaQwjLZyOBiCxMmlkMpo7vmFno1HwjdAaK2r+KAJgHhfV +5/SK+t5kHGKl9nesJAiu4gRAPAezU6qPYu1VGmGaDBhX8JYXfkv0RfcZSQkF1V1X0nZYrGXqL9Aa +6c8P8pBEcLzVQ9C3nkAty2+vgdGLp57sUxCaylT2KlyWPZ6rmLD8+S86omsDJuX1Nk19JehMjcjX +floUDs5OSgHfzF0qCvYOf1OBRVvqIqBmeWONsvscCUvtMsgZWhsXgenQMqPW5aPSf6xwwBdCzcPK +WuWYKAcwUG//uP3HhJzgn8ArkoE0EN5Vxt+8//afN8xliuCh+aQ7yOoECzqfxnzgxHAdQOzr6KrT +mdR+4la/ezY/e2CotUHpA/IpLY15mqeTtKF86Pl/ZdyTwvi0S1TTbOTi1PWyU+4G9bi0Yjp/X4HS +4QCqs2PHW5bFi0GGOF96FBgWdb1trT7ZmzIXyoI6i4G+XymhqwbEgzwLUGIubSrkZQLnj1tRoCqX +oty4/90s+rtYS/wyp5u0obzwjRXXh7DG/10/wVa1Jjty+/URL11C12BPuMkdgz7vbUKnA0CGClX1 +iZjMK3g7Nu+L9mBwWAOzfYvzdK5Z+eX98ZxELkJgrREViGt2cWI1GJV4DgXxkHqalQeX7hMeDhTg +88BjCDg4vtnIL71t9PrB4tj86M2PTMBwODJjPO2r9UQ1/Cbdck/yZllJJuRp8nHLign75pRneFuV ++/gHX+kREYURRbgnG7GlTOEJD/gJ2/Wgwu0Kmlzm6iu8ldqQuPrWf7UhfQ8t9tJndPKHo7JqcikD +yr5klUs2dgI1kyn0Y1BMp41pfxLbDDR2nVkPZsIXALCzISzBAi9/ei396wBg8GHt2C7Br2OAX3kz +wrJTcWObLqNZ3dDIliqswEegKluT6Uk5TD2eGHHwQJ62xiZdkjVanLeAIMa6KbKImfJoKQC5YtB+ +7MBRgz/GLiuxXgjNo450c6q5W7DhPt+n6toIUuOesvlqjByZAsE4NvWzcSfnQTVzP0qZw6N9w8uH +B5uPFl8juTGPE1YQeCfbfj9UXVocDPuDLkMwsApLYOzqActTFHv/aGoVDs/9GZaaF3qQX3My4bLU +Q2SzievC+zrDuNZWoaY/xFQsr3Mh8oyp290fj6ZplR0GPDmYRbZcNtyFjmZ9H4il3ESPp/FSZXzi +6mHEbA12doLf5arAoFcS5GRGBNX6HmguX+jh4Z58JZKZ8qAwGJ0MJ7wUXNrujZEN6WBoXvR2w+K8 +3hcyS5rsaY08F4Dvq+Iex1JEhgqVh/KFOsZWZ3/Woq6XQUEPFx57PCArWSKDtvFbNNO8gkGvaC82 +ivC3aqUCIVnSVKu48iYFwyHY7usVhmk5MhV4T+s7A2ukTd0HmgIGS98h8FbNoRcYtlBiXbyhF1ng +O+60VkQX1r5fAcW1Y9CoVJmLxrG8tNjaVVlwt6GDeiJZXmfJdoMvWx2LeHel5iBAE9F/FZIZq76l +1yqgBjflC66rAPeiK65vs7wcxVfeCOfaZ2qbs8QFN0l6c/jfy/hTJU8Ln8Oh3bwHW528niBNyUnB +ZrC5cuRcYyi5W4UbAB4vszvDpjoBkfgFLPrrPrJ3Q+EUbK/9tYABkhGrq+g4HUBO4/logwq/HTuw +0HVErXI0IInqHIWRKVZVjrTnEtk7e6wOI6VoFZ6Uy2sn71c5oXrLJd9McVrXNzQc8o2I79XYV2tf +oK68/ekoUE0jrWwXOdSOb/IrMoYx30SrzyYLqN/RxvuZTsrvjLezZ5qxHSCNZ8z0xt1flt0LVE6j +barFZjD83LT/DXLe+TBcHNChFmLovS8K05UXgUFtJ/6dz/ecqpsU1OkqbYpRH2abXo6VJ/mPIXow +ze1gPFUlsSj2rKgitBji588Bdh68btz5cggqR9kMOydw5V1liIDdE7pE1ZQ7H33i9bhXQSeih0a8 +U75Y9gTLmW/5xX9+DU48sVJXC9d0xmfw/3UliXcrRXVRayyV6Uh8Yey4yj+DOgMzOI3/hlmlxH9+ +cvNGdyl6VVbx61KECywIvlqnZhkdlnuwFSwDsD2FLPav9edoEb/yGlvu2Xp7XhKXoTEFTmaRo9FF +inaeHXjnnV9EbTlpOHn3jBDxWTXkCGV8OCHt1mcu8UVPmyTeRhF5v1L0FzeBjD6xrda6l3SL+KM+ +FD0M9A1h9gG/FS2VwkbrU0WM6asUTImpfVEvbaCBoSKLjtI7pa2wnvKZ7eF0VM5WgukvQvQdEfv9 +TM+kwDEbq+fRq1RtRmbvdmKkLOwaD8yHsVM8YphJtqKr03Yndw6drSj5NMl4nj/6MHBtBIMO3Vma +ZZmb2TVOKVKYlvLVJTyZ1msSNnLYWNKo8YJIxV9pFSMV+rk8p3jMV6Gm0ArsjB0OG1WcKsjczfmb +zqnaXpUk7Lpp3OXoyD9/2uS00+nCpmAylWoN4vwCa7vEmTixYunvjas47HX0S2+fHqh+hEximLLJ +EGjyE4c8f+rLO5vipSDU/nhheFOUsafI7ht4Qpd99lOtFve+7+ZVMbXydgpgfUGOXHmDRuf3Tq8l +2PxCJ9GLR7ip14sKWGHINXKsVBFIqQ7m4Bujg/Lp2mzM/eeRnUdJvEeZVvHxAd+xoin4XgaapOUO +ck9wbKKnADXJu30FLEauGn1/UTy252N1+DyHTS5nUJI5hS2CEUhxp54qxB+mMhE3H5O46nXseLA7 +Bthm2CZqWRogvfmMA5m5De0tWKZg6FA+fp608A9pNhopc3qciAKxSxAkFFSVqylsKdFJPxl9GQbC +IIJcJ7tUxA+JGv3llHRtBMC1wiCQvI8tALSLYzaTlSz1Y+GNRgbsCKW8hO2W+xRISGz8p5cUJKwZ +KQWN93XDXFVEssybPDwCsa8IVyUnK9AZ8aY8EEOxseq8VdL6N/04/51BtMtiohzS/sHcbLOXtZ7I +mIpqqEGsAoLYTy2N9jlfesCJ2WGmTvFtUn94BXsF7Re0qM3gFpDs0+pA2bYMjLVXjBXl/kwrPLxf +3dJIJFkrrroP18w6WSZ5d7ujRw9pn5Haa/FrP9hZkGlNuxWkH3l/Q2q9ZGNyGH4Svxiq4g0XjCAs +zfZWNZWAhU3goGKive2RCuct/bLCNQH5ZHGmbxbP52TsLzo681y8SZP6UX+AoEjqYvHsusiYEhvF +LU5KMaEuViYohJ6jrOhvQQyhKSB1l84iKkFdXeoZbsM2XAB6f1z7447XBoBWwaOf+c+r9mtS85/M +3yEpN8u3XLAcN7EIU96ObZNcVfnHqCZltyIW8qWEgH2MrnsmCEdLqaAZfNKn1DaoHSUCgh1fo8e0 +ah/uQVPuzoz6YzvaauHxVP3RDfUfANPeDqVhlfOsRitZFiSYN4JS6VM+TlEVDBU7jL/fGQp+SGeC +vHSdQ5U/xeGOdpjCSItazuqvARdnu1N8kPv6pAjgjZregutdAKSAjiHk9DbxXdcCpMy0RA8cDxoA +rcWGVkGOgI4r4Fiewje8kAYRfn/NhwTHM1Htp6geK7cSD8g61zJ2Ens6kyQJoSTBBTDJlgDDybNy +SunSFkJENAa/yvI/MHb9dwrXvR6h5c99tvz9DnhB42v07guAEjgYXN78NzS8ksw40QG2V7ahN9bO +g1GNWnpqerZ+x7KIBmMFOHdo47Ul2U44wsFH8N7vdC70F51Eooy8hlr3vfAFW7+52bR3sWvQfm4G +9RnVDmPuydn18+OillqvDU3Sj7i6gIz6gSXnhc6wdutwLarm0rGLXbwhpHK9QbHiKGXs3Ms5F0B2 +UCFdp86eGbW1XrpEdA/QcAU8EyKnspiiwirTgJ7ZSf+ZI1k0cMejxr4kVAi+Gm6fLKtQWItV7o7I +z68pxRkGjrCu0DuCV4rinaLWYWanroUwQ/6ks/gfWmdoRtAzHNaTfGLF4rKx3rm+2Jn9NHURST4D +XN/QtEZG+4vfodj6vIo2xm5oB4vxusVY0Q0yjuc+kWQlOr9hJJAmD3TgdCg2SsuvbbrbS5X0fQ8m +DZ+oWWfZrYVmrkhyspC65CdDbmDDUkXiKtVM98X8xHq6aZQ1FwuIBp+QkLTIn8vjDw4qXGZNDYHL +KYWaFvz4/Y2ykPCAdLCOozwVh51SQKJHvDuScrqnRTdI6uy3bmRZCWKBerwNa0BEKY7HL6xXUXvk +5g85PkA30BInUvJMQFmSXvHltUgHi/oJR4Uaz8z+YdLaqoYrxoGIqx7uif111oM2BXMr5Go0q4X0 +A99zH438BFsc4or4wy4tNfI+a7xrvYyZsSy5OJsOTC7HJFdj/EnF/LOdu3sobsTBHm5wxWpZ2ymD +yI6t5tzFpPrPbRivuM4/svKDJ8rupYtUdUfFJJBav7gtq+dp8jiMOXMiOdWWazDW4YRJCt6cxoLo +ZdIhJikZqZk2TLI0wsZMJNQ0YJx8o5/Fi+2sI+0be6/Gcoo3MMRRoOfASOi17oyW2x91Nfo54soL +IxCH0K/qZMwjue27aAHQoHjq4hOGLWHw2ltBfA8F/NX/8nPPhlwwaLSVIn30akEauBQWQ4A4HNjj +J2S78fpRr3PpjJfosZ9EtwoS3JfBnCWq2m76jtEovvfG+1vagb6p1YHJKd4pe/EMPrWhAiKYHtjN +DRMS/e38WeRJ0fihWlaS/Nn7DaEfstw7tycfzfy4Jhr6OtOft9fjckGmSPxOif+6d7dycNN6UBuB +w1RGhSIuU/MkM8xg40P7FYKG9e15SMcQvlzSNdAKwEt+rep0e95Fqs6z1o1O6PhwORd/Aub/8zr3 +KBxuwseb6IU4lw9lGATIvp4J92D2qvYrixorWKJ+goSo3WJmr2XU18Guwai1bDPJ3eCu/DbonL/0 +1IClC6NDBSqReds76TeTvcHfrRBmYaXjDNCl+DqW80a2NQkth8hcDw8hu+O7g2jx0lY60pFRBFKb +y2inRutC/friUST1N91jgfuU4yXXLXHzy3vhhVA2OZep0PTSJHpKSwOb68GsPe94AeCpGYKZXMXf +AuCwUfCn9Oqk1TFm8jY/7zQp5aPVD7s6QfJf7+mDyEy1rffr8TbGRDYbyIJz6VUntMpFzK8cjHBK +DqPXxwYaS5rg8RI+KH47azxnLHtMajECMtt+4m+rcpxGgoTqxnmAPPTSoD4AeBlZ1/FMDeEBDnhh +38/qHmM4H2JJMXkPK4xUuVDL+BlWOgqxN8/msvkEN7C7AIZcClV2xZWTQmlyQk5mIJTrtskAadup +Ab5GQBoKBGlFm4SMqKU6peI5MlxAyM82wRVfqyDPzJ8pBqCBHNxd92w+LOXZJowCmvEjP6mtMTKF +z0lQ9JhmS04yz9YjBHo53pil/ViH0dfROQneAG0GXeSSO35RzGyvlR+pNRHrjLeaVPmqS3WL6Vxr +BHCpGsbXEqqlTFwzad/We2Oudle+640Q2XxQnYLVYO79boLonrVmdIG/zVUTJChP4uzwxDJjBSLs +xs9JcCpFsNxkMLeYtXSy+qvWPramo/t/mrnhPffpKPwrnTIxi0hJuMjC60uVKKuBrG7z5v5tKPYV +CYW68lpClNet1t74CS8oQ2VxdLbhYAG1rCdQ1i6ebio1M2rXYi6x0aEs7TfJ21bla5gVeaQe7yoM +Q7jYz4utfa38baosMWhMwigUOgTzx45RQoGnQEMjwGoGSQ3FV4LZTjSsnJpYiSjnajk4X/vWdDs1 ++Umd/lSTqW2+k0JOKFtKlqyMpMJbfQSnmLx52JaksLkicgvel7qp2BKdipqUgScohj+DuuEk3pIH +rauz8tLlhhIV4lWMix8pkzMP7/g3qcPvxr/OxxIcwojvigvdSwTHMHRdfV+KOKQrcmxMObkjhTrL +MWR30PpScvwM5rFwheaWXxxHVKLZ8LJc+Ur5OKHxUWNH8au544f50CYtVpjBTgUjaDEZ5ZNylQg7 +2a/Gcto3+kN6mc69Vlz5ORVFEy9NW1K/kTH8XLDIrPYwGYrHBabvN11fx0ddXY6wGKZsiGyAFzus +U0CNB6QeMVlSjExgTf2BIFe+1U2wAuAxrnoExkQb3uAq7nP92UMForHSAQl/AhrefM0AFlvsAMND +XWfQPAqPY41TnTQ/fCUXyPZy35O14qxnjT+NiI9HhZ50Uk4suJ0d4eqN0BR9evcdsqp1ZFb6RjDj ++P27/BrZuklB3aPD45P1iMTy/hGWvYq7Of8O+H1ncXkgCiz4EVeNZNDckn67PsM+dr03XVWK2eju +mkY/Eivw4023BMXPBVHFFet7Wotn6FXOUnl3sHmYuvEhaqGwi2a19kQF22U+2of8ndVm6B4r93cg +xTeXXh5PbZAq5SI1hABI4N5qJ7m07j4nkjndL7KbwaO3lpuPxDx4Iq6AcdXIjU8dBJ9WSrRP4CeD +SMxrhpQx+COW+if+EJ8afyrKoB55dvmW6swKpXsU9oWe43QAb7u8sCAYv9mPqPHVNwvOQ17d/Mz6 +0+F5xjbFRM+RX4Da2f8eAmObi4xDqKrke8lA9aU9PpnayVDvIpv+qKg20hb2WIbWI+uLp61u7Vas +c+XLEOFfC/cPKk6vC2Ln1KGBXk2BfLg+03mrO51SeQURW3VgSXi1LCFcpyi6bKTC4O+EePM8+oXk +OaOfOBOiE5PSLNEGVnSwKZ2rT8ALeRHmnGPQDrJeHaXwZv/Qgtn2whLvwNFkccRaaFJdGgaOpJNU +vq95jZUxFzWALmjF9NoVDmZSUwpulBRsKjHhTCEf8KlElWNha8uAbHeAWtq4eqdWi4Y3ecWrmZbj +1eTZG5aMrO9sZk6B1AesZKSZw5jDWPbAJpAfDTPxHdGTi7EPBMXt71wJeXDehNn9ouoGAwC+SzcP +DZbAbrwLvFOWzOtXlntPeh9A1cAXUFM+Ndck8OgaiUwEmCGqph/xoFEdWnn21svMnn8gN7WajvVu +roHypXDMtP46gMUWu0C6TczV5h7O0MvnsA5qASk4HEDLHip2kjrEwLa9pfS0IoktiZMHI9eLkdGa +cqAnAsqWl0lanREVJb4OrOhidzbSZ1tjiMkpg6lBvzHcMUIX086tM7IUBFRwmNszKhrJT5vRWt8P +hatWmhSd4OkUCQkdQ8XLBHcoSXFWLqxlxn8p4icAtfubnvXgbVc64SiUbouEfQqRSYcYGE9j02Pc +52e0THm4GNfLPQGA72073mqSpvO6XqC3BknCxSyWEgb8wxq2BHjm9QIhtRalvMrMmk/OkrnogGnD +mxgsKXKx6PrGd3ezc8mYcXfXHb9O+lGsOEJICJj4r50LOl9K1yh3utoVj1rihjWoCyggdST1CwWl +tTAVtUJluVQAREfOhWagn2roBtucB6CjLXx9tt/Y1ZsILJ2zxDpd2dH8ge/4DB8wd7+fX4vwfMIb +KtTn2NkzbXd2/SfNUItHAlzCW58g9KvLozqQh1ME9wzHHUXJS7kOvWp//Ya52CR2m7HuKhzdiuWG +6qx29zOlgnUYe8/tx3hXSgFL6DSaG64RF9f3JnRUJbuLU6jTkKur0b6D5/UNhvcRDTCQwmmxu2EX +Pha2zJsu6j+cU5NBZXJnywkRD6Sm/HOAm6IEoEQaA9EL/pDlgxz5RT+0Ham+MiVtsk3j/NUtP0yf +MrRiLdY2+S9D0CeEoEppx3vLr4ERDhTZtgvLayK4K6vMITSFmNgU3jUDx9D41NJcMKUQGLUVkz4C +ma9r5dKl+GnfLBmXRNgkQGxxgpMsPzGmBwsquXcJzIyqcA/RDGgn+c6wLrblCZLfe9bFYcRDmmdU +clQL5EwZ9VFsZdGPJT1RGQ0Tv/8zHR9Tg2nJNnjqNiJprLwf0ZrZJkrugbTGhusYmihWYxplKHqg +wUZPlXHdCHVyFSb3qU+0g3BzASbsFE6A3GbZgAwwOfE1HsvyIyn2yTA5Y4qVOJEWxL1IB8ZmHQNI +zx7IRnxPN/nVWDLQoKJ5AScbXQjHe5IzbsEi2c5bQSoOHkQBFrMfCikPi34w5vMU8u9JSQ8QshNw +9DBesCUim6OxrOwZHyPDa5mzUbG9lAhADDS1WZrUxV1ln3PXa2fP3yOWkt/fvS9+wq8uV3FQenhq +UQ8ZeGcKFYmyWQ6COpGyKHGUNGSr+ctIjyaZW9SLwI85rpnw1OEDKt6Y/3dW9W4ZYktrHn4yAQyg +WifwaxYdaP2/4++7uomejtzyTm9VwPLJD8njfWadUwNBVRhOPc+pmVVIZwkHr5ZlVEYPaYehfKj3 +VEMrCkkGoGF4Ptyh7b2DlB62L1vWAV9QB3hVSIYOTvqZr8/5Jc1C14aGheoss/lxjspAP/bGTtFY +7+fsd43fPEvI4vbmHq9k4njzZzHTyEXKSW0NgZTGhMjvk80avvBiwBoup2ibSvO9z0WCLMMcYZPX +y/xmNjU2iOTfWrgFEeirTKzUqoJVRcSZ2zKFtkcUl0Z/b8zCW1eREyjthzhQGz6BoY9U5akbzxHM +dIWQBeXHeiMypww5RUiSJbOm4ldA8L+3S4qH3HN207cEFwzTwyJdsjGD3fe7yoVVO/hP/zK7wyjo +PHx+Sh9C1AmZ5wF8+ukXQHvdKD33FXSwtlayPAnam2rUG5jlvViu0qwCteDyyqKfGkeIxHeokIgx +dsdeUTZYbCQR4lnVbiFT53nNVN8JqBAWy01KY861UrsiJXUnxRwdt01AoEw+Bw1rZgaNcprbgcHp +z09dXqoiyUzr4K2w1tAfnp4LY/PvIqqfQ42GPZ6oJYfgojFJPpr68mHmB2JHNGbOFTm+AIgLKSqr +5RzWRDGga5ctJwDXbLFE/sATbWxZPVFReqz8N0coB6NepnrFvZLL7KRJI1clO+a2DfVHnRhJHXY8 +2QQMevKpHhXNMp4dTKSS0VQdUrz5WZ6lhoefRrM4Mu2qAENieex7nte6Mr6n+05gDdPyn6r3U1Wu +h8ITLlCRlvSnjDmsNzzZSVPx7vtZgGH3HufKtvDHhlqA5Gp+pOoGZ7yUsCnenrNyFOlWgMFredoy +e7UedVtZev9CTyZ/IGraasQnmPWkNQy9Cgg6hUpXTZ3hcohgVXxR+u9CBIdtoKxluDEJyUjlpx91 +R3/s4XciSWdkyIVq2KKB/LN9BHUOF5cIt7owxDmWv2tKK1lFLBqqDn1D3Cl78k8F56FUyHTqhY1/ +ZozjY8em79tGo5SQaepRn0z1ghLChT2V54RiD2P8163zcXiM2OTAQV9LzPNq9h9geWKf73vzUbNS +FIc9LC+9hA8hGfpkndUMWDO6AXhoYw/5R5SlVBJ3zkeK6zfobi9ihTPuqXKFiUIWoKhZFujOiEkG +vd/h+g7UY1S4tamXudMEaOZNVi7aG059g3nkrbek4rbP+FN4QPXO8szel+NbFOm0yh2ZyxnSBOSh +ObLZGipmH+XQhmPeYTXii3g/U2d1b7gGz1oBV/JTK+fH/aTDsqGZWXAWyTUFwt0aeXHIS+AQYmLy +hY4AwFa7Fbc1WkTKnIASAM9j8q7bHll2FqblSMyFDQ6z3WbeDRr9tLIBIaru3GwPHuqCMehD8Dy9 +sPi6AeAQAZOu/uyUxzW4cZzhQe5YVogke/Rpsu+FcObiqk6WeKfMTOgLa9zIX/AjuBBFsAcwIbSA +/EBlBQnrZDHaCTrJ0GJJpMZz2eGSkwg09FC2Jag0EBFRhm228JtR/hTLzd3+diBDLbv2A/9rB4O9 +qm47gXXJJ6/gNs0ydGKcjYwvIQSrHJ8E0PLNGDS/VI4qPR4130GtKq+AFkHS8ItdpcBzgidYjUTX +8wC7cJNkXEYeW+VkUOz+DQdWiCLsTCoGahWNa0IT4TmudIyPUBQZfkNzspkOv/0NydtbxH6nj2ia +Q/EgfRZsFb043AL39wCHeMjtbeZRXuSQ+m0eKRUG7caKLez+ZCdY1yAERFZJCCzVp5Kc9J+EIhBz +jtk66T/tcNvWdDc2CZ0l2woij/83Eyt0eGlhbjn8tQqzS4yCwNxMFJ9EtoXmqANYWJTGQqXp4aXK ++AsXEpVUrztOr1dPVQT9xTwTouS9qbf+z+f28qrmzUGYVbBot8SOHtKnnu1bDNbBMAHtRppE/WeZ +32KtyiFoUhDDY7yTP1yuWbXG2k2eJXk3XIdr57J24hJVtAsHSLCtunrudR2DeWsH1eYcQw4C26qL +R7pc/rEvaCEmwugHJctx+iPiuMWtPNmL6/8Ox1LkVf2ZhuoALfLpDLJ0KMrrAqI0xn29Hmxr1iDE +BKnkScORSX5yKGRu5Pxz8BKcxtG/eUrdxiIqDyYb5dqhbe50qN//9U6TDAuUOxs4uMZRP3LAP3Sj +JZCsI2SE0U+wqjkWpXjNk1TwiA/gnwYJ1rPfL7vTBUTKygdoh4fbGduq40ppVOaKm+zT05U95Kt1 +XxUQLQfwk56XDHsFr0jpFPtuxWDLFRQrIijrgHgcUBw3prUfVUNoBxLulzxpNwnx/1UPwW41TNnH +YXH5xJFvxjOMP6Zh8hA010FRrDW3Tj1xcA/r73iFmtwwSnBDDOExFgSwsDCPnRzkskXjnhXPqDs+ +y7s+HitnScPkYzU2IidIEybeLwiHmrAz2TXAovOBH9dYSEc1hQCrogz61h157gLQmLzHMLpfmpTW +nP1gPtnpz/NZ5Fmtv10I/ReJfthzub6O+NrBETb5B/fOWhc9ENj1cSQCiK1PKeWroxl4A0x63LCt +jxuh2waSjuyWP7oxyvhfbXUettUlFUuqLCJgM7KEncbfl4JePIO/p7bzLHMCTNsFg4zatY/AkO07 +1Ok6tvjWAwygi6o9S9ar8NS3JXfB+NfM15gaFZu70YgFkm/cBqOf19mR2rCiyj6rBHuX8YaDd5+k +x7ssmkZ2MuTX/9gdG9AQBOroq/UU655UdWRWkXlWYIm7rPO6rN4O6TAllWcMMkbgpftu+7CcmA0w +0dD2np7xNeD4qANhc/FwDZ4D4Fngyxw+rHBFRzefTe60XCyu4lgqAPd0HSK2afUU5ffU0ftrnX8d +ihxPbNhwqh1l8i5Cli/DdrUOD9T9kU0os/OJydcw+BafS2cR417xW0EcqHnzVpNjvHLlEEXaJzP2 +0rsz2quF2ondhGikO3Dl6lV7mjooho18zpy6K2lhal2wV5/6Lv1Ac74hLJSxlYXre5lbsJv3kcR2 +EFmm27LLD4Z1YZhoFv9URpH5Xnc6cZ27jvD/lG4TJn8wQvg5zB1l7KnRBLvTwdcnV3RFOe2o2oZb +LozzKowJzzO6Q2f740Msi00VKB65+vqIWkvtvjQcFaBTvNyamd9X81pcAJM9Xdkjbw0uHg0g2Pfm +vpVE/7K4QsugTiaBjmFvW17B3VSwSvrUM+J3sWCmrthOmdxaNldBb4OUs4DNQSaBW/v9QP4kBeW5 +qjh69X7cg/kKwrVBvNJtssh+uGwXz0aP38kdklQI9Pf0eFrC3Dpl8MCfnmVY8Yavj0kVFXKQcupM +FVv4aMC3OVmxjEObQc7KZqb2CwwfnWVNEcoubmB2lRmTYwOTnTBNnpokNgT8LeeJjjZT5Xygw15E +ZEwPCy4eaZcQOuG/Z2HBTHKrBQiEJ6TqYQTprGEY0zqsmNaBzDLqLQoFHk0DsL8CnWq7eFFNew/O +4dJ60TpUwz0XNmcqlZlC39DYroeAfqp9lYUtxGbP5T4WlfzolJcGCVfWK59gG0FCVgvvLJZZjOr7 +4HsTvVJh+MqHBWBwYRbuJvQyseAYm/XBFXGwlU+ZDCGF3zrv4BucGdohhbSxPugLSjIXJ7U9cm0q +4eiQAoeNuJ3sGzdcgii4fvuRy1a73a4xPsp+kF53DxksbJ/h5XAxxH4vKg0q9KiA+bAbMxzu78Kn +rpY2RNHf9wVPLXLORs+kc4XRJ8v7w+V/XTHvj9ZqEaUemuGIZD0OQ7eOtq5eBaA1zAl/QXaLhYnN +cc0DcPdqBGO/X9mTgTF+kXi/Bd9ZtPTM/fROoX/LFMdJN4GPMc+ftFX02wqMDwL4Bk7RkfE5jbKX +J2Pn/qjj1VY9/Pp7Yqosjov1GVRiH8Q3wMzeAIDQvh3nG2lDTdhWQskAHJZ1rtL2YncEdQEASRSK +r7NJ+/VxlYFAJ5P+D5nVjVbha50i5PCeHho225iH/lb+W4f0snZfsToCFdr8xmQDnNqmpy2C01Qs +AILWOyDq/NxAxw2JzHxNaaXe6CwTM97abOed+Dm+85V9XnzPMllhmAj7JTCUSYaK5zu2Gj8+kWd+ +FKJN7pBdhVoH3gFuQIEHJ/IwHKxmwD+5m3VcLBM66nxsd7sTiIz78U4y+ihYCZRPKRH2lXRGcXMO +Tkgia7tz+tbpECyNZi14uXGMhSUmoF77BgeJ3ambbLm0A184cr/VplPwwS0SN7a/cVafxaViUtCa +3T/F9z3y+tC7/X9tx/0s2KMIAgP1KsmMdUqWqP1I+X0q1ZL35h4h/9BB6cc65U6G31ML3+CNNSkI +PC8ElezgNQ/6pWn3qIwa/HOL7sZXx9p17+FQDVuVZHqraV4UEZJB/BCLJ2fXUtWTWHmff7P3KWcP +m2NZtKQ8aqYOnzrbFRR9X119skgD+9ydmEDVVGCV5LcA6lRGXNCyNG/7TIDHgRvslqRUh0F9PrtK +hvMI8hWUgPUj+f3vSicGfnfDXOOVyx6u3w5jjuO2WKxXPo4LuXO5MWky+Kj3SX2SBQdOkDm7+35O +Z0nCJQSUUzlV39YXbqEizn9t7IteTn5XyqV7iH0WWQ1lq4i8+RqtbIylv+cCO+OKLKM2nqZVrvsD +LR1jOFe1nCdm2LtSIbW+F974r/jBafScsoUuiEEB3OWwAG0Sy+yUIupvE82I7VBanwnnUkmajTKF +fNW2Y23N5lFZcTvuv8tcjPlNtFW20p7n/6uMuObi7deBmNbq5/Dqgq+FTKRAQPOW8E44VdVVbFIK +94ZDDKZfg8ciGfeFu46s6unUIOIrxbhqR3FtRJImXg0DcgzF37eGu6TaPw0KTCJPEx2CrV/LSWOS +Rk0oXLl+Pyy9i46uMYEi8UHzy8t70WREYiW8K9qdAX2vkfC/lsQud15ql31Xd6KhF/OXKGEdUTbk +iWB2JSCKCaDMzqo9nJEPGSP7zODXz1kZ17zQMRIzyIEwOV7sMpKevWDuySfDcdYi3nXtv3xrEO/X +WYgYaOG3Gz/IQk4ztayZot6iVocPVW/Lxour9TDtTFc2OsxYdSoyGgiv/JCgNg9LEUFPwCFOrUN2 +Gu/XcYQKsIN+J6cXYS6IYFzXqfRYkUMe0jIIfFtJcbycuko+TYF/KNYuetWCuod3oivN4NrrWATJ +GNjq8EC+aBPiAXEhpsGO94JwBmRIEPh+ATN1+tMJr8rygjoJ95Dk02mda+kzPC+MJgdHcH3QNZ12 +q5sfGDRd2tDw7WTTiOJFXn3AQ9oOU3gr+x8QJHyTWgKM2UtL3WUQYTbKV4Wfox3ylDO+AqIlS7zW +3O6E1PEdb4yDma0DgDapk8Fickk4C5nMZsrjKrYnAwdAJ2FpPqW38rk05mJHAJwaB37Q514uhsVx +4fksIB6cKESckYY//CUQyiz/SK5VOSZjtpVem7eMX0d28PUNm8RzNDr+N+gvjCXq8MiEYHRDqzLw +Yv30kF/P5UvLZ7r65zbxkV9QO9ujn4hH3Jjl1FVTJjxfvLtu2SdNPiWu73fmksr+4kDgBX72c3UF +aApnAQUZEueF5uyZ0p+WyYaashOPeJ0j+3X3cB+Yy2j6MMAYwxsF5Y2O0rX3RgL3hn9q6rRJEGNU +hIFabgb3/KF2oTsQ+8zc4UyZmHNu3gApCO6y+/P7tKns+Hr7lxBGwJ2jYVuNchSoiH8iJCWOrFGw +pRzLOmIFZfqV0VHKH4kiECUNhv3jsF63HFWxui9V7EaDMLYNZJ0SHUSBESYdOpsjItLHLp03hL1S +IA+Q4Aqz+ueN9lLrGQkgdFHigpx7xHjxb4IytvY7Wiq22vM1Q2DErPMlUbYjtJyRraSp0G8pzsm+ +b/qnwlcMUIJ48IjzUDsyw8oVZzO/RQ3hMtm7vAElJ0bn0eNfmA4Yc087EtDsI6hqaUvNS6TEtKZT +3XSKAS4lcB5Rgd7pNkYCnhYEzBMaAxNwJX3SYoDy2UdQc7NzxP85n80BH9Hgkn5+JCeg2GPoxPam +2FJJbZGPUTcEEQgZgPoPH0mFTealMwO8yawL2WS0YKUAsGk+1bgn6dDjdk/cdFl2QCP+mh6dCkXW +XmlYvKDGvAdew+optKkJSjbW9zD0Fm5CwAYEeEK/4ZREVDPxFjl/HjKtMVHzaLzKjJTAzfSR7RUn +P7Ry7GNp9qQzaO34dHpUHrrtzXozoY+LXRMt+Oi1+z/H+3ASZ+zq1MPehwUD1bhkaRe/rqsuKZea ++fyK48uYVVtUvZr6QMYAAud+56Jkx/de1+uHNcasPul4JairH1uEOZNtPyJ2RHcR7pZ5ClnatcC4 +cDDN91HlV6qBca7SjpTlGIDRpjGU5BHnR1kJgBNiBjQk3lc8bLu8LnRZW50e0N+rCjxqZVCdQq4J +e+sDS5GozfE/97FC04UH7kiMLVUyKU7FGRJmnFSb61XyC32tJUV0FIBHjgDggplsOjRvaa/0GnXd +r6yLHVxuPOknQi++OnFc+D0k7X5kNvKuCWYyKoip6byw+sClfEudBw2m5mVyVaJ8yeM0533O+v7d +M5+VLY5zRD4//JlfmO8+GjUYDR2IaRytYOiKD0rUdwdX8ZGCZb5PXMYId6yk8c0zzDEYAFndWyB7 +FDjX18NPKBWzy78NvvngjwOyugErnA20EX1JTJH4TgsjloYIJTLzKVjNEVpBXYBd7jslmwl9z0PK +AV9DrDtcllJdUq6yTPpNxulLlm0HtWx3F3dISHEJljC2nCuT0UNCnbPGOM/i2NtCUDTjI0/XQjhZ +V6Y9rPvz/ZR5dHfZD25DJQ+LCG6f7UmXMmNJf3LIPBmp4/Yq5KoIdXYGRasRQWn9M6+ShCgAk3QJ +2b4X0qJWxYRdvbE/DlK7etBZRs1Skfu8joTpYqeGVTyY0jEjllOWaxF6xsckodvTvpNw0TGVf0bd +V66I/T1kQlvd2IDWRdjwzhvtxkxx/B6jInKBiGC9TNN0la8RR2XpOq8cadeK/bSdh295NpUgAY1Y +COxn5ueindoj1qtFvsWFuWxz0ckr/Zvb1Jq+QEONv46EXEoTcRe60KuG+khpO6lMa7Df/uhBkSfq +X8W6aAjQtEfjcz1IkYKs4i0ZALD4UF1U+ixb0E6AK94awosWY2RMZmEwyMtCMBH3+A2+Bamj5aOr +L0KVJBP4jqdpZpdsgDpwl1tn2GTnjRGypWs31UQMUzAXC4aU4ba9BqHih1IQoLgCT/8uC1ISlY5G +MJYW3AcTuKRZl5n77msZG+fyM3o8cTSr8Ibu0q3hIH3V87QoquzGdDNUzlSotMPimd8yyWzIZSxR +P/wH+c9npSTUx5OMQHmv4s5LTeuYDMLiXNJPJrD39vvS8y72Q/kYjQ3gVNQzXdYwEakLeKJZcxUy +1IJW1MYVFh47G5dkDbETRXXMTYj2Xwy+5CtqwkVH0Xwb9PD3tlZXI6XJJyrcMBqceBFpZsZdFJ8Z +F1qNsCXbEtMcY0yT6dJaSUrg2UUIL70aat8UBZ+y1TahrjOf8PNKEL/HV/sDWnOx9maBNyvlNZog +cOAY9TdQbwcbxjIBzmmtwicDaPU7cwrioOSrJYLJ4CASDtTdwkzkjIo2TOKWZzcAY8kjSsVJBlUI +khhNMS5H04FC39c9Hq/ztB9YoJscHQblv9OMLx9wCsCIJsYdqbdO7Tn1YzEhDo9Kf1qLlN7JFmfL +Gox6OWSehXFGAX2RVKrRWKP+/cWdkt+5HhsegPR0l0NufV7FdAb+q4SQSC5nmx5ONWfod4525bYv +ddOpmDO22u7IZBtyBl38hIiDSqYrmD3jApEVlsylehkcbAZ6mZjvwUqQ9+QP7M33A5UHBrsf0u0j +niZ5OHGEMPgTMHFpUFPzdYGaKQ/97u5CMMO7fyuNuulMyvNgmeIat7jb8RPx8PlD/5C06Y7lxcwB +Zs9DkU++9ZF7c0rTWChKWgBPlTvm2vyxc4N+4IV/VQFFj3lo0d/3+ur6aUgUus4dbnNPOslOf7No +A7cSdFTfG8sZ87Nj5NU/3FWY4ZYm+IMMrEVc94+OyX6CFlLooNZld6EyMFCqjIxPPUotZnKProK0 +8VYU032rYn5/R96FT3xelzYDsJK/3FuPEUpRjBrQZ/G+166wKHYIzSgW0ai6XG7dTJ8rdBeyeGW0 +nNlXBwvLeZC+eeK7opuTWdODTrZ259BVZPK2F1637WNtt6SHW0CEe9C5EeSTjul3V4z2bB7KmevC +JQlVXf0yKZOa5k2DfkH2Kp2zEDIBSBEeARe949h0QymH2B+cpGZTEpyekDGL4L6QRxpf9ffF8zeu +F085jgcqDHaGRjtlN1l7vafPkwQvqZPwB1jaLRzN/JajlCYQcfVhP75h1M+WFIc7xZ9uYzFtRsEZ +JBokOFROSLtxDRaIDP9Ii2FidfUpLZYsmRVimaeDxJsRRfcX+AzuIgyThydidNhgDXb6cm4iup/I +wO5zuE3KvQYyRPmPYba3KJ7gtmrrjibOncxWJYa7+KP/2ocSZluuev7dks+SXmtLjsGeMdk68XU1 +u8p4P4vUh/uan1o/ovZsAas6Hgsu3kghzIlzT26w9uPp83RhHchPHRZOHF+NXTE0b3x0IWKtl/ck +fYIz2eURpew++w2eAFP2MRIao4uSHdKCkFo5p/+4L2/QOnYj2hXCWeXwveEuNqQ6rhRuBRyNNLjJ +S2408ve5z7a6BOw4CkK6FWfXI70P3iaS3FqnZ20sOWcmNcN0WfAOMp/eFrLXXZQXIHgXRd4NZdi2 +/00zWj1ehwUuI+wxFAKw2ZKX0GCbxAsI5ngDCPRjihoI0S/dcazEcNneU5nwNJNzQpwion9Zwo26 +HU9N0BOzgE7Zj+BcbXm1dGSBBshIivC9l9fTpAsARcB6ceVlO9IBzwGqmx5nR8w/W8wuU0iuQjye +UmfWzeoCU6s2BUl4tRdRxS9MPl2Mso5pdri9Z1+lKDt2PAn/UAf6APLiUL5UWUf1/MFg/BHxF/0V +tb28qDY9Lle+Fi7Ygqd5u91/9p2GaTivTDypVGLxL+k2CNMwpIpsgwkw2T0ke6BI9+yEiLd4JxSj +I6P+cNx3EdsXcgLjYarSmgvoaCDhtO+Rj8ReSyZGyWIq27jcB23lO5G95kuLPSwl1MyjeWW0f+kH +vEZ52BOlWM5RK6g3uxrAomHaF6t1xlXK/72pcX77Y8UfQvIcQksTQYtzSkA4FjQlMCoerNi9ySAX +XVQOBJaJzJx0pSeJt9xWVlCcg9Ps52rcNvP1wkkeCph4ICbm0M+UNlZqXTmDeVxKg2njTHUob0fg +kUKa+BAzvb4RdprIf3EfpM85rq5GS+u+i8twIb9XmC3gOjjsvlV28t3zOzo6c96e4LeOOcIuHaxY +N0C5veWlsPbcbDRpo8Ndg3P6LkakbwBjmfLmJfjxHaPkzJoSfZlK6u2dN40CJ2onZdE3e9FTHwdB +Mxdk+bRkaWN0ieMaaRKZfEWJ962l30KcZ0mhPnsxcsX7wZ3TEudyMolCaxPfN0Ck474znR5q/3d0 +CGWVV8rD85zvw8sbszhY41xGYHz0cXR56GyzVeLfeJDeoIGkEJ8obLpJ3t1QtqJC1ehAU43KDN3L +o/W8Otb4JtHKOXbjkysabvL/jLcx3ZaTptpKEEQmzqBf4tElZewH3LiYsz1rEn7R5Mo5DzO1j3Dp +hbnIytcMJVzUXiLcm/QPNfKc90aFYv38MCNOrN3v9hYBk2vRKLIjjHS+kVvhlo2yRV6H4WXI7xoi +aGd6ea6k1vTuCjQLvxeFaOXDBzIJpdagTguKrzFVDLmkU8Au5i4FuHO7Jswq9bf2Ve2G4VRtivSS +lUzmP1f3i23FHReb9EcL6rrfyO9nZEdMFba+X3M1sIvvAhBNAhXq6L+igSSjqHpWp2Te2Bp8GyFr +bhw3uIveb8Vz6hO5IofsCcihoSNn9Xzwl+Gd81sjBCxOw3ZCt9c885Esvipt12FRQNoGGyMgSnIx +7YiXasCck4J/U8At513G5APDgnAdnHz0KAnqgT2EPKzC8+fIp5vPrKBmMpq0tdKYobGGay8Jyz0Q +HVdtd9bJ2zF0axTFaR5gjP8Wft8dCFIzqfmNEJoOxZ5gZTfwhjdlmyEPIx+/jbH/MKMcljcyH5Uh +KSNa1VsJwskkKghx/IlhE9pmeeiRrW9ycM3Skyi6HpFLbvtIc8v04f82Fz1ojP8zZrlvyBveP4G+ +hyMHzB6uvU0nwmQp4qWcB+WVBr81CCpWjJke47Rhdkf1GfsHGuo1kHN1Ll5xhsp+dh3mlo/SXhsh +2bLYY3583p+Vub2C2I5Cl7I8pz+K3ryJ8BPw9pYexfi+08wCNIeZH+U3h54xlImR76Ett6BLg45T +BhRyuznloROwnzmGjfcxZmMkkHTjXrlRjNEuczaA8z9Qfdoou1MzfEA1GTzpK3+WwZltGu4KMQj9 +MK0zB2yBh9JdaLOchz5o1joNsrmCOFzgZwfzvUCWSIkDsmO/l5kxTzokrtmtApTWZOMSFdXogfnF +twVKxGMhdpBfLmHKPKrWg8QDQ+dch3Y9drH5jkqyXuag3MAGCkbWsC0Ylh1VYWtnbzBT5wqTUtqa +WxfvH7hYhsmWDB41LzSboJeL4Yxmc2ar0wyvgIrtc2hpeMcgAnzI4HwWupkjBVoOmMshW1/0f8qS +pIhFyhQrIekdvTCm0vT+l8t8Di8f67xjkbkdp7xjPRl9fAxnJFAGNO2jVH4hkd2DGyzDJo/tJDf/ +YJWpmX0UItxB4yR9YjtcF7XbktqqE3xgWh5y1MmhImd3Y9CQd9ou75mmKA+BLHd8wqtIQ/ZWNe0/ +tvVTCFjUbhf8rZdeivffFll+nhNOVrISJMhAyoY3tGmSjg0wPx1W5CB5V5HZif14DlNRZspwWoPl ++dtAUnvvciF9SFuxehWmOrG0PgjasxJmNUCqaVusXK37WHqwyC014zFv+BgLROOlCXuZGoyLskTk +Fc48JEf/cyqEZybZyIRZBT57gPaQJFhoe9NF0gb0x3M1fRFNw4Hv6rtJ1IdjTRMQll9JQTrBetFA +DnU9K6evBw3PHqQaEyvNkgpnMzZG2VvNKkedOcKcR2WqgHS9aEq6dnMIlZ/7j/yINTZg+CBYkhKD +MYKY/pG4S39uCQu1ozf/mtC5ox3I4AhxqbKezk9caOCFh0ZthwOpO5YO7U4tW+c6gyU+2W7sQbvK +V/LUc183fRO2CDyTZOF2J0+aKMJABWh8zQInbVRxv5nl7g/Cm7JAw4uW0o1tkBQ3RoHfbuobgXpO +P11DMP3XFmlbFA5gtWBK4THZieDJ7fM6ltnIRrMfmofiNVRfHOADv/5iPMXZzwjtwpvHDXc+cDPP +wyIePs0p3jB43hO1OQWkuajnt590pAc7G9RkVhnyI4ABhh9AFf+XMm68ioAbOhQ3a04NgXGj8Vtp +4cNwpALHxzkhLa4CzE8czqd/Emm2wyfBxm5CLzGB+xXYtAc8PJ16SdzYg6lHQVLSK+mFKoWD/PEF +kz0Ywyyt4J0ZdUG1pN4JaYFhTepzT3zc9iDnidso/LKcpV17+m+IFJHsR+K+K1KGpuvS+UEY57sL +DMYBTKECFH7PQhJiCUe1UQWoS7yGeLHOX9mPtZdA9mbEgRquMNVbCV5aotsT4QYvyIUATK/W16LF +v9j7mapssIwCiXaypYsBNmWGmsClysbxg7K7Z9lt/e41ZOhJ28V98j+TkQyoZRUPmaMrCyf4V4q0 +WXLs9wcAFFyQ+A9iAKo5juqQanwEIIXZEeSbxTy3wMyL9MdvQE+XrWChiLpFQ48Lwdl9P7VVfp/X +Gr9Ud9BubhUl/ZplAG6flRffYR06OTwvyj9Zp+Q3HHZtL4UipxerSMS2XctZj4Ps62Eo3akK98pN +OtH2ExmmmT998E1XrIzSvTFu+7mubfBaXPYCeinKR7ZU5dEFj6V0hWkie3KEjwIQ6DSU5tvpl6dp +WqD8fvT7ZUQxri9yqsU2WvLrCl5UidPNQ+f0jpFWMPqGSVuHIZbsPtlH2k9RPPkiUwYXvlI9JvjP +QUYTeyPlnpahKSRv5rd5Dkc4kpVednPqcLhC6NEnvZdT9OMalF8T/WGfkGRIPqQq5Wo1c4VLBQ2K +QycPq9GF7kW0/Lxa+GLwQkuRtwHk7ohngHILBrTbhhJpUxZHrD+FfLxBx5fbcCub3jwg/U+9wtvk +7Jr2AgJd6xIQZFf0BDU++YC2KRS/bUB5qOzQyZZqp7I5kLsfHu4DtCKV59w04A5AZnhuSKa1lhKK +7scJZliwTwSq6DZVjvBEcRReZ23ofqKpfCeBrx0kbvF/96U+bIsMCEZDxRiCG+MxM0CgfddZ+eay +GcG14YQ6tMm73MYLCUVKz4X3rJ9jZmAvsZC86J2P3fFiQKp8qOIiI8v2LF8S/RzQGU6B2lgXKloB +NhVazkmGWECHaCTzuzY4uwIM6jVCN3lpKUUicbf4gMtadSXEr9QOgbj3lESfA5anAz8kS0JqttLh +V/kozVzpPcnNlmHP2u2OTZlQkr7qar9KqahNhhUwEC1SUhpNRtmzYDJ7OoeFqd8rrwCFCY8i8uMQ +W4BAmYqhXTx6MfmzNLUUZ82nqaBcg+G8IflbRYPWivDFB5Wsuf+ET3b/HR9R4/RtpQOle8DkvPjW +FThCztXsP6IyOx1TwEEAvYYpaehN9x2Woi4PPBeFfY5OYhRcXWIWzA+jkNwgtmk04GXCR4yH/s0J +9ahrRlgyTIxFoKTYZowwhN46LZwgWgNO/DIfipSRcZSCJI0WOO35uDTwmklZgXJ/OYuyTsiMGnDA +ETw6xMHytPOxOB6RtK6dD4WrW8cs88rZrxO94BmM7BALMqZFsqIHOwaoLlzQtQXOt6UO45mqgKbg +vWsYEOQLYV+lc/o0KzaP5mDJBushVUIGYbtkCBCcydYQyiwP4K1+2BdbOSMuzaprZcEmc9doMZgg +Tue1guaYaXzkql2r5k5HF3nQf7DRPi7hMH19XRyIYLwz8SktbTVXiRdQalHr6vsoaFG1YruNzuSO +oHuRaqvoo80Evd+4TyD8CHkLc59u4sy/s14Wq1ABy5chzTV7L2shG2YbEjV/KdUHuLz2xcy39ELg +gsQPxUW+XmYroGsP72WlumwCeBMtVDbUhrOQxOLi3eA5UndMckrknf2Ru/ZS2V0Nj2mdINK/9ZHE +moLhGYEya6fwzQc4jNIVg8si493qGR4h6QZTxRiTUkPLrd7239kl7TumoOUJlAoy9Lpk2E6HnRTF +KWJT0bpD2CPK6cWUXM/knmd24dL7Q9jBVmoBUSgqYWbuUFec3IYJT9tb1ughJcza8xANy++gL+lE +KahmvBW1n/mQdyYzplQlNKCzQeph29zF3P2bAoe3uYe5ZgRSuqKlqOQgZpp0xPqhlXAO1edWd+56 +TikgZbJiGcM7BpB7KsYMSyGdDMMW83+RoPf0mjcegqz8Kdkp7Pu6sTXILeGMEmU8D84p1jElDSt+ +ch39206lqgU0Guw6VA3y+DEWgz7B9rnM8eHD1U0mDQFoi7X4J2JF1QUA7F7vMLc4oRkIRbeLlkO+ +V04Een54x3CPGpgmWo1aChb9eepRrjvrJ/MUbsMvdGutV99YBOHJvnyXUjN+BSnsiDmqxGN/3pVQ +pvqzGw7BumSExrEfDKFBKVZxxmc5R1FWoPYumovijKxWPB5Ab0TRSCO15H6TSPf/zQk9KanE7J6q +jE8FftgtXxokfHLiGdMmQV+TW7LeMXlijK6fi/3Rf1PQKWrwscm8sOReKT/Z6ipMIIkAgzzIzZgD +SAvVJo+Wf2UNUyYlaeXUl5pbTC+Bhlojfs8Nup9QJuqZ+1IIjqcc4RGoy37GrqurOUMXf8rDk5cE +iNhuv7nUs2pRlJohZIOBv8KhCK8JIhLNPgv0Mij5sN1v5gPUsZMWkQmUC7VL8Zw2EUlN9q2e59PG +w1k/VZiPD1hx7LK2+vzvJo/jPIL/1y1jp1TXPzx6ogWC8n9iok8DjmBuv6nJx4RlluJiqbknUuSf +o6oTuwYHb/v3WVyQEgaSP2Dw2SzXFmSPmMhnNd8bS+2hF7JGcPPcrT61nYgHP6SNllE6VZD/mw9d +/x8FKgm+oXd1eptTPI+9pLDmIav0I/6pa5G95LTPHpRMNLDKZYJmPxauMlAd0tQadv7kI+wJIaLS +YoEZt5a0jVmnc9FULfeK9jr0Cw+4w+omH7rQgG766hSdIR5MJo5Xsa7N7DnUQtvWtrvQibyKeE8l +BRoMwdRryRkGbHy3rDCB6B0jXLaOeU7MB8TsVRsHam+bKGx+6iS7Nl++zUxsxHfDxklZhMyOimk5 +ONWmcYKRtQwglxFcYBoIoEG+Z73Hh0oxyaXYXzg/olT6xveCAxzsrBpBrx+iwEXYUrpLnunnjbj+ +crLcLNXutTA20x7s50IXY5HzdeEpfExW7nW//cemLqEwXeQ4/JtVGO3tDKZEl5zjtK8AjsIgwaoK +kefzz3PD9A6n9J8ifGpCYmCNOf8sm6fYQtJanz1GCYDD0NXMWVj8jyArsCz/YMuv1vTBEQRQJptc +jNX9CY0jD59Rs7tTlWZWYjeZ8CalEDnowEN0FrEaNL2xWLnWWh2no9Gd+l42Gfg9IKJPvSmwdUyc +ODHSkiUpu5g1brFrtUlKcr+e7feW6quLjpWneQJmM5HgLezF7IV+guZwxAVF4SnOH5/aXW4+MXHT +Goi+1UJfXOL8zsN1Ddz/Z6NdSTpm7FlwJWKbUWjPCXUOZ8CB6nwkYw2njNfizQ67nXL42ftpaO55 +LSvUfI9b+GKS2DAb0Vpp1nXYSReQl7ruyQd2byO1VYaVvu0rEizqEv9RBJdSWtzwekYyWFRfyWqi +VfJoGQkWPItmt+A9YthGObfXzrm1RkO+IFTL0NAA5vciee1CWYpOofIIC55l5BZSA8N+D6wTiKWi +WTlgF2iLa8T4ZOS734g+536yTBAmaB2m0UbOHeE+SoxVdOO0YoYF1yWNKu5Kq/qOhMaYGLaNxaQN +xxpDaJlIoYT9OnFxo00CUi9boSrAd/wlFPj9QCvoHA1YP02Uhdo3kJWliWNtpdfdos4G0cHpvLKM +y9Vfu5iAjPzISLA1yfpYweJzo3m50Q4UfcYzADpj2vi4x7WsPEMegZrDDNoUEqzVRCI+MKeqmFMg +aRqmSh7G+O+lB5mq/mpB1sphblYyel2dv29Jf3GO/mB3LAlxdKEnJJuBLDKXkoEUecnfaLeZoH3D +jD95yrAxQ3+J6DSpQd6XdzeGjExo17s3K862dMZSGGz+ek/XYBBhe1RumqaYV/fgKrGx52fWWPDR +OCRfW6L7nEYy76nLLEHb++Hz4QmAYoM4ZRyHGYMNcVctMFxdz1mm2bPVNKjEsNYlG3S3u8CTM4kJ +l1qPskbQnWZIZ515+WPCiHshv4xv5PoDmN4pQ2EgZrRzJIQ9piuvWde8i44iXp5ql5Mc5/vEkLs8 +Y2kPev3+yl/Lw4+gcj17oLlD6U1ErPD+6wHx+bkhKXNMR8l8n3pomg9WVfabBu7m4naZqKYoa9QQ +pb9DzFikftFNgIqcrMoK0BUIlxnT7q9TnYmuXDOQNHgc1TDTnF3mVCzg/HoSwCTaKZM4mMAZs1QP +eYQ2FbgyQPT2pPCuOH45t7UmQOHi+aJAaxjniD3c+Se+nUhfoWH8qJcQ7alx1YqawVMHm2ggHups +fiBd438WWpd2WH7tBUmpj1acc2M2tFzpXBmg4dM2bP+nFdon9/htudsxvwrUOhI0AdY/utYrP74S +5bBhNbPeGv7YLc3TK/raMjqGiYNn9I/t+c1b0WMdENEa/IPlZDKIkrmCWM5IWHNVBluzaTQfCFA0 +kjnrgHFLNsWV/ETlcOoK8RO/eRVib7YY26iykH5eALiS4NB74GILv0Wx1wDWOSClQHs79UH3Vmq1 +cAkZdFVKPFG+j/6z+9aGUSNfl8nBQTlHLSOJBI0VNw5S/48NEmYCasmZPMbGb0gMud2VBe9OeHYM +qcbxYEyxWG+d8bKctCoyKUilo/A5w09eMHL7Srz5i5qUJCJ5IdyuOiAa20HGV6oUr/3cfRg/ZbfS +QNunjG6x8EujPszrCUqz4EslJ4S/zQGL0iscz0LzKyo1Khuh1Jg1n+iqitx0Yf1cEvLjYZ8319J0 +SPC3CV9pY8d7za3iMxYy2ZFyXsSp+AOWO3s4asp6Y0V9Q97ZkCm8t/F2hL6322NqsY3bt/8n7Bz8 +95ZEP1khRdjZRwlXWjDIvYXJrFBNJST4xez1Fy95to/WxfOPas0/oCxF6j6+QZOI0qfa9VsT2j/+ +5k+6odMY62GPACRGC47gMV+PmKRuWr9DdmS85wAv/FbHHs7Jir4cY6e6pZIlnuK1IVk91IhxjUG8 +gkymLRORbYa+XbA5BgRHGip9XwUUOHOHoSBeVLRh4g95A1suNsCJoYwwZdxU73unEbMLamvjmNFf +0fmfteHgYwLoOBXTh0CvKux+TAr/IuoEBovqtVCnaKKNiFttL3DyC7iuBgkuY7hsJyytEByhL9mi +tDDNzRIjU5epZ84b9aAeh0yqSlpxWmaDMPms6XxxL/v0g1CGVJ21exoQ43ZOG/fwpmTh1gr4CCCj +USL/VpF03LJVCxefbeLLNF6Donhq/VwMzdRbNFCGOVd/DqVviY6KQWNhBDM3uuOw/1/n0s1NYYnm +C8IhH6sB2dvddSOn+n45rezLiy7tXgkALcMoy5BoeGE0dGif7JVPrpOb/yTEnKk09xWOM5Y/cjb+ +awsNiu3VO1IUorlkHG6hkHnBfvBe+hamUL/gRI05tDwutUHBwgNIey1we2Ezd+Ee8af88zt0tDJL +mBnAzBMYLGRWxEdOHjhRXUTmlUuBrEdz35L777Q4NUKyBJJOm9fRjf58OTRFvqgnmO3pr5UMfi9w +kPFUDA6xzLvCEzDUTkCGyM3VNTek7+lSxJjZkqsJt0bGTEn9F5RiTSyKZOhLq12M5MQaGNT24dpB ++fAtp1hafHcfx73/wiBEczzpCUxCAxAMam+CDoERzfXFCMXd+wfRmTcRqRYnta/Mb7+0sVTa2PbJ +heGc0e+TCyk3rkRjjO42GeDTWtZwiozrFUc0tDdQNdkgcOREib8cRvdZ4LuTR+iXNYmZhfkySWET +RxxNy7C4404BIaiHM8NVcu+bhlc9aSr6mkovvxsKYDvzepiDZMzrYe/ZjU5ElEGUe6+A3GtY/Ser +cycPx0s0G8mPocqGcHyEZYOqy9Rnv9r2oExYVhPV8qC4GpheXhbCY410hlhfL1BGWr4UWsp3TGED +qkR1S7bZ5w8MMe7CJVAPTdBeRzgx/SfXttJc+gh/9D11Z9o5bBrkkzS98VDdbK/kvTIAPMcryjrT +E+HR/mkg4A/vGTckgLR+6JNgqRp3MFI8bhkDTW5I6/IcATxx+2MSitYQfCs3LejH3gW1rqaE5vbY +qRUbd7A+RzBalD5YtehesKEMf7mqTy8o6Kizd4zXSoAAPbmwxI98JlVfHsfiVWGYs7/lD1deyJuj +bljD0X6WN98M5UIjvsYXTUWg7HpPDhg8C9t8MgU038gYUPtSl9KLUQsbv3Xls27aBn9C0huiySFR +3RqPQzRP1KgKBLZlXVt365dDb9NNVrm/tXIc3kh3cPLcAmIEQeMG54XZEoc8Ro8V+YCvzkT/ukEt +6Xq4LywYfr7FRwTVVGdnCN9CoZkAd8BmdwYCSTQRWqcuIm160egXlS+yMXIQozLOuCnpUkZgUjVi ++S4N9yefP2PE0T549IzFjJMdI//1SQOZy9r6kLuKXQOKCTB/sqqthD3WlqYxa9P50S8WFCwqV7yU +a/Clr1KmPR9ytZTCdz+ehCv3XOtR6WKzXkWMzfGRb2RC//0MVjzNq3Fipeh0kV9CA5kbdzVzWrba +tX+CBShR+1v/wgAk7CUwmHcccugFTHjw7ijAfpbq2E1PWYXTOpB1b2UZL044qEEO+RZSZA2qzZtU +aZb/1VpzQSeKstWqSOn3hKkrjFwsQsDdWXZoaDl7ebArct0c+Vw6bNqbEHOr+GOHht4AbT80VzRI +uOwepI4GiJVxl/69r60UpsHl6mHHnFpe9s8ZkqmcR+8FNdDM1NADqZH+z9YX09O+oYtDdDTBbcCF +bt/2a5/LRJx/PAAXLHvpV24H5yySC35i53zrXqOUPr+JtU/3K8DP6Yc+wzhH1Dg09IjIzxoaSKVv +2/jRw2ySIrFTD62S2MZgHuMJ2KDcufUZdCo+CCxmPbuZXfreDDFRmg7IB7Fm50xPXx7EfX2bfqTO +39MtnSpXMSNiZAysHsMZ4OHcpPP8jfCnjWAcP2oZknRH5Vwe17TLfUUNWkBvqL8VWzkfSuXzuk9U ++dqqHSlXugdQoIJsAA7jU5LQw9GqrOFJixgqEv6v/dhqQQMGGDDLW/82sGwhGLemMrTDcIfv4ZB1 +fP0AjExkc/KzUg8kTEGLFdzqgUzwqbHcNh4s2oKJPST5t9ygZBJVXo57CeyzsdmWgE3Wfz1iw4Xc +q5laHXslGszk4slCc2YcHCylTLyax3jwsz1E/LXykePHn8POBcwpInhZ+wsf3WgMAY+0b7cHWJnQ +1GwefxrSw6z3DxkecXKqYsYkaJIDGEgWIb0dLEXLlYsM1HEZl9ZqUng9T8b6XztWyW1hteziVwHT +2knH+KF4GtEmvisnfMDQTvb1chArD50ji7E7midYl/B+m9TjZyzYjbrjfUSS5wPUStmEuVKQJDJD +n/gcBnCkHJDQqr7VUaypOhUPF60TuGXGh7oomalWJmpsAEu/aYSj480nvB3uzQDibgOo5Y0Qcs0w +jkH6Vh5YrG7QDbcLp/nosbER9n2DYZ01pjgbrdddv2Egk8vPkiLI8IE5mqc0g9U4A3jK0zupKeNu +102wWeCLQFzK/QmB7JZni3Cm7XVERtWVRghG++nEHc2qvwwHEuHYSC44hIv6OlN3L4FCo0WzREv8 +FdcTekhsI8arGUDx5WzN/q6CXyWJabTNQik2jFTkasSo4gCgbk0Tru5tkFaSHlsk1lm5ac0Am7cI +X2kYPoG8XbciVcYE2DF90y7KBcAgLh22/HmrtVFqqorD1QiNhaIfl2QY5PeHMSo58Cs+lC2mRHQM +16I1VPkt4VxEdqsZ8tsV9sTY9VGUmw/TKIqFhxo6j/Zh0bE0+OeFYc68ihKseNRVXyQ7Mj/PWReu +1T/BOrqLB7A3dlAvIXKpY12fbRloMmHnSlt2YWb4W2FFEal9SjUznEC5VCJwc6VtHeHKYIChWi6S +Wj5R+7t1dCE+SA7YXxzFLQc7AGtljG8H9kLXwzxVVxH7agLnj7g0lVdhh7bS/Er0VtuZ4hmno93g +SkwKIFEwr4lkbjyMs0Nsi5XRRsndKU0h/jCJho1tR+eDNsaGUeyzkG6pDMngYXiUG1LMKKZjYMbB +Iv//LgMS3ouzxaXAr69nQ+8OtVI//YaPVNzOkYg1sx3JKZ1gz+0/jI+DRGJlwFjN2DtYru5bBT3m +b8AlaYpR+Gn36cfFwSgbpGdADDsOlUEwyQ9r7Z3mzqN3Lmj7IncDM1hTml04MPEL/R7SZLrc7S66 +IrBxixpl9PsPM+KAsAZsH+BIqTSmcn+R8OcyrXsUQVL6eRd68f66+F3rWfcL5Xf14sTEaP77KpyN +mDG8DAT0PCG3GqdMm5nknp3GwKk5oZ82w5j/4BMISQ4sCID/09Vq8cX83hy6o4uDeKUPf4RQCN7O +AjRDLdhXKQ/uMDSEzq8O4qe9NzFfJR5lO0GQL5VeC9aD77nfJWVMZjFlixDI8zxlW5NlTjRZawH+ +J+Wz6+h75A1L24b6kK5YtWfz9QJ64LyO9cOLgUY81aE7hcMLeyR9g0frEHEB0jPG3aQhKl9COX76 +ribXLoVM+57g+loePKFouqW8Vi4A9JghWPt5fUC1jFo+3F1Orsk0rcy4NejEntOQ6iTHzcE5CsA4 +CGTdMzkszybxW+5xSoHK7zhqBjzUlENNKMqvvcvZBGeCtk3Ls+xJWE7IQvUQyJ4o1+mkQPeYQxKZ +d1wDuL/IgMLCMiu9rX2oUcSI/xs5Hm3oGw9GT/gpVtQPWzpqdRaw7UtPbKtPbVl1eItsX0sNB8yn +bCtpujQhlWToMKeXhJ293/eQCU7jfaYRpaxrl6FjhKt7Qm9cpWjnqmEbhwjCAxidgCrKZ6ALy1DQ +8/erO/ZwDdq0axtN3Cf7+GtMPOn5biK+6PrNCP1rL5WbG4fMQ2AMGFY9rcV1Ex3/3KYT5yrD5UBx +xy6uPNYS3M2ceNf5WtKcMhw7p99Pi7uftcESHuDqwnkITm9+iVKK/IG2vdoWjAq3rApJ17keHVSg +wyeaguTQsd+nk+hNzXnTaOVlekGb+zEwSRYKAHXTqRap/dgpFCHpkx8dB5EQ12thcvQNczUdz2xK +VBlWAETJm0TvpgmbMH1E6yQJGe94ZRfc3U+pFcGWWuB7oXqYtA67DR/EgRgUWwsLncfTWsqqhic4 +i8BnVCJwUE60vqhG2Z2wlZSRWvrJLk7/44fIKRCFUqAZO0Up0TnURkk8msDfDLKsl9vPm1iMfCF2 +Jrq/YtoFzp0YX75tsDJnpCJXM2b4O47q7pvfYPsUSjoioJg4DYK0trQaETurhCVivxEcPj7q3uKv +FXWjvT8BmnLvZ9zU3hEsFhJ05iKdT/v+Md9XrpGPC9YZGrpfefVtksKBP3hCdAYx+JytQQ7pdbs0 +4aFHDIangM31G82GwWhcAzHmIPrCpfysUKo0SJiJSILMrxe48l3Gct5mp4eIfuNSUIHb4T8BgYKV +0XgWkDQJOCOA+p9b/wuLaFw6TqGx5LALHXukJAGwTq1cSO+8vw2eTICV08os+gZ/EUqaXJafr3Ae +142A5NtxJNFNPEPMiLfWrAhsUKXb8FTdLF63/cBVF3yFZc2Mhi6o6beu8uKNTpZhEm4TaRdNe/H+ +xvOFJ67nkidGaZLRG0eDV8jerHwsAtRJLzXafWAAAkFxf8RxKm7AySWM8hTnLABxvhSDqrDKyd4w +sa48JU+GhZPx8Kl0GC8CTLb7HU8uCrl0W+PKjQiBBbqFMcox8j9MuwejT8oLDv1We8Ft6KiaY73d +WZyqOc5yvGw/ij4aAZCROK2By9vohX1opiT/Yidg/d7R6zNfUjZ4SJuZEFt2Brn8pQPw6/PBg3fS +QbgatzsObN5m4JQzWLLSk+v1mHw4d/VTFu6oYem1wqyTNOJnsLkGHZ47ahoRH+GXvliSskUEoowR +P+vleNRdHgmJEMl2NiW+xNulebae5iXXbQhH09da5BiVqJ809Tg+0dKdjwLogywkPwfEIIIP9Wfh +/RK1lw8j/trLBXm+7QLGKQnUyvqX/nPc61igSmdryV1JJaTR3cTVsjMYEgNZDVD31F33txRFt1E+ +dYG5nSdSACLovc7HGKPs/nVljU9op9FZUiYP/xHNrp8xDktsUVJWay3plh7d6I+TAhnMC8fAc3Sn +dtASp5CAPfqCAvK3qG43j+OO3IiLIhJ5SArHIBtEYjqz43s8TqYD3Udc8N/maTSQJKP7zEp7Jjzk +1wxGySwtqBdb/PG8YCATknmBF5PvRXz2pLE2XV+N8cZQGQG4gNWvhc+clJFAiQ61s25q1c803EMj +4LlaFGcdCi/RdKHM5QXl/2ySOUiBYcdwp19Dc1Gk7YwRlDqhqf/5Vwu/aGlhI2G/3rO9G5S+Gr6p +qNtMxncrdAFmiQ1zax05tj6AxWye/zZNlV655NogbEs3WD7MQyk0mJ/xphaoF46tI+5AYQvsUO0k +UWY4GoUzN9tk7g8NmVXsPMiQAt1m+o41rPC3aotPOiZo1lwqptsm7UMll12XWL1LyU4kmtRP9Jq6 +u+cf1qP9QBe4ZKaVf9Ya1gI/lcJPTPX6ksletxuPD/6SeJb1RFX3WjzARADnd2xwMvUbj3DAy/yS +d/KpWie+mFMZL3V35MbKfmQ9x3ZueJDKBKSx219Ir8rgEVIg5ostB6Q6QIFck9LKydOEPaU0SVn8 +5MVeomr6he1PE/3d03djFQRypm5ErzfXQGSvNR5rfKgBxlTBCr0ue+WenBa80iUilFWUab4GecAF +UOr9JmcUFfa1O+rnE1UZp0EmgRL6k2AeTGpNBR/4ZEujVV1FDN1RpzpV0vUrgoxHxMz3HD1FfKNi +14BbqNm9g9vv0sOit3DJ71spw8qO82ow3OroWLKO3Vt+MMm7ddlp4/uqEFXWSdlX8sOd7H7GLRGq +us1ozFbQad+DHklXEx4Cra+/MS/cDRTVHtOKO7TfYgn5j9/F+nha0s7BUDWo+YeoIIihnWZefV0u +9DUfBIvQ1qqL7wi/g1VP5amJxQsw2Bj8LF49wNDIVaJBRXHgfmtIqltbc/d847LXw3o2AwITuSml +4wC597Y7yUAeElJi9fX8IhE+fAmX61Y1LPuqHThOA/67rzbCYzs4dIZVjO7hM7vitmSyxeiwxFQo +joywUaCLP4eyTNkTcB1Tus7uThnNG27m7nWD3iH4KM2LoFtl2im/GnLjJXMzvsMwx0okRFUyzRIN +R90Gclww2rNd0UurVE6Yfr5vQ6urMXnDttXIYHp7kwcDxz3dHLx8GYqxcNMGDonM2domCz/2QctN +1r1yl8+pEhl/uJhLTMyopwcWqE10/+QIQa2MDtkF2VrzkTrnQzHbqvaV4mWZiuRrxyyAoOWXE9lU +gE3TKdRgDrASZ3x0WKaxjGzW44Ita99okDug9GLHvRqRMss/ka2hVFjpLPs8NubXqkEla7rCPl71 +w6I5iruqF9pmbFsiNgOc7LOoaSYIsapGGB+Yl7gTmCCNvgafQgKdKOet+tWxSnRr6nsvQ4B4iFGk +B17TIfzRfmodd/tZ9hEVxwWMyaY1QiwL5vQxWq0o97SQpNyt/oyhgza1TLQd/xu0igeGNISiiDbv +0vL/hfKB18N7UAUWN+3yU7jGrDUhRkQFE1RnchBDjus8MQ4hCpF99uIG48jwLk5Ze+/E0vgeEHyC +mMwGJ7jQYLSyfBeG8HwhRZu+mhABx2D5LJHb1VVMwdxFh9nZCs0+YgNdqBjkxIYkh/bMkYU+VtjO +/l17zd304TlHDKUpFNKC3ndzrbaNRHHd6ACQhUXaNpipUANnJAMO9cz4KXVd+9sHO92SISHpcviy +eWe03/5JP7BPUnZnvP36AqjZzIjXUUN6TXVFVMdNF44sQabJDQyLwGj5/56hybrdPPQkWZLLttoU +Q0o/osTwDeJiWd6t/4B+zhMN9HkxnmolDX0Wub8eoukZfK6QmrKM9BlXnNC4bV7v5rZKJvMUzuQj +bEEz1u2SKrl9fN4qkjUaIp3muzbSmdhiKOQKzu4aw1elCLRauDITankYgG45NGF7F1HMJB0yxkbI +BDnZ3PtY6QT3FAxirnip9GnQMmOuaoB4m1FuKYilmeMaA0OfXWLrMQNNyvGFRy9GsMmSU9W0waF1 +N5jlyoZ1vN40+gONk4Au+f6ZWfo9cKag67A5W9UbSOX9l177KTxS4PG6zX7fQ3c0uvDXSsKmvgRh +v3sflurOZYb77sH6qlvLhltwMZqzTAq8tne5qkuVniuUhaJRfHHa2oLNIvaCkVJZFoBWgca73VNy +KHzMX+FcDdfuqJYpvqinLJd8mlUndQSexJNf8/X3Iqy5AfNUkNm3k7egKgogL/1EQ2T17aFZtODs +2fDRZBtFPcSG2mWtO8X+ZxrSpNpXiwTvQOf2l+396jS4JMXzp7WbAj5JnSfi0woXOp6ocAxhut8C +lYItZ4J7NjRxnzW7sK1PzDsblksLbZywiUnDBl1FekfMZ3s4cIqrrAGD6sz4bnyYnmMGf45M8Nk/ +yTF3gixchYdrKS9ehwx7a5SOq0pYANzphaUzKad5JqrRdWNUSGSHhod70W3Z3HuXJxlEfdShPP+e +eiNietBqnTQBZTBhuz0TBIpOmw2AeM9/u7FDeBBna5K0rly2UUda0x1tBBo/j3Q/sYZp4agLLaMg +7yD1ObUimBE4Zm7FNbtdyJ/8cevoEbpTcbARKL4HO1y831Ci25EZtTIcZ3pPHPJXg56nNX6VQj7A +Nn/f5AFSt2laaztvqyt4jKsTTtCaxL1FO29i8dbo79z1T12zVMQUysKHpq6lIG0AzjKaZCxH7OPz +Vh4pYvDZRF0Drn4N+ywHujhCFuMdkM9Icc5QMF3VpilWmq10lu0Hqnc/gnBR4OBB5F6fcMAWKxQj +iezjSQQN5GGYv3v2iiQ3Y0F0BXB4vdGwCKF+3VF6oHsLljiJXkxwg6RY7YFk3GGa0o5xpLsKpRKf +MBAJLVAk841UvzaXMb5XBBQfa8p4a7tS0TOhW3mhUzKoxP1ONz0NKi4snsZ3J+TbnT1aCgtVYQhF +ts5uisCeV7DxRcmzxX0vrjbCkPwWsb5+xkiEKsgw+1OhbKSevzcRRwKDQhCKf9haZTE0s9ZyoIsH +RgF2E/k+ymtSkxncecdNKkvmQgpTrTkvhlI8pr/k++Ae67VZ3CGnSK/5QQAxK1KdrIOKT2EMfYJJ +TgXXjsbbD7oNtfW916hTiOkW9MnPi8C8rWUOvUAMCS/tfXYjjWrz6QOlfoJGFE5Ju7x+NmZ188yA +uN8sKAIZd/+oLl2bsrKN0mE0aFH8yJiQHZOVDbWyrDKuR8jjUshAFCWrc9qM6SnPdI3XaY6PKbug +qYQdaT7aYZyGFnhlHWpEsXpTAlvr4Bbs3laA8rxeatWy460Uq36Jug4jaeRG3aEwA7LWDS0iCD7X +vs2dm4KtG7g+Mtw+4zNCy7tPcAnclebi9lYepueM2BU+9mX9ARNIM7eQPjj3p0kYq2Ufbczcck40 +tHleQIc/WdlFNNLFTxuxFkfs1AhUxw1963FbfMKWL+qWHBnfjoVrdOSSJ7iyKVDCTtcmro5fDf7U +OcclHZGOoBXll74ZaKnQMRoS+jzdGkIFZ0qHj82ehVNgi2B43gxuQ4ksXpXJHg+aNWfzr4cvnI0r ++769nKnFgQnADYJOdeD7InXLxg9aVZiXFdeVa24i8jrmMShcfg/kzVaE2Jyiwdi6gIPgN9MmJOLS +aqZx9SMAbkwa0MFMg+Uaf5sA4p6YszRzcMQa+xjt3/33L6Tq+OJaUgX1JoJ4/l3nB+tCAqywtWv1 +tYebWDZtvuUAyJWuVYOVbRdq/FHw1jx925ACWKJcxtCm01S7JjaDhALhbe7YPrJ2ymx1mA/aKAaL +alniADGKjifL4na23wZj5/uBiHUcjmdeqY3Y9vAFty+8W7JQ2y//gS5saGHC8fg5tkQX3If+j2zr +gmUNsHQx+M/jXxy91Bt6pr7s3PpTjZCEDLBBJezOvxykOde6tMYGF+jxW7r79PEK+Aq6uuia3Nz8 +yrBxK/9MuZrnvE9Zc+6W10SDo/sDCFZj4nddlmnHK08lgQoTdxXs178uMcciE8VpjIeJYVUPCPFf +A1gyoQoXdtGseE9iPS1SUWhe+e7nd9gRzZanJqh1DijTxriFb0dgBbCCFEoFwEnJoKe2oeB7tfeF +QWtD8nej8SP9cRw53ZOutsQUU6zZI5ObdE900XSPo+Weflh+CX56lhF3rjTPRpCqsHtT0KFNmpvX +1y8l9oaRwkVVJ5obcTaMosH34PQry7Ge8KtSRGjF9xExWwWz0KieQQysI/ql8ddg5iLT2xPGwy5e +a6abrmuEIatUIV9hYXG2VqnL8ZYBJGdFUx8Yh1YbsVLIiMxiFAS+OEKjePGaOHm428TfKznBw3SA +cHRbFPeBa7bsFyxG+Xify95vLiCaqGWUC7P8N2mucWTUrS9WoJKdUcGoNjkvm1IUM2JKsmE/C21o +e04WbzirKo+nrC9sbDptMq83mEnja2JZDgFX25EotxPpOGWgEdjzJn23cb4MmfK0pxFpBmGyLTdz +reY+AFaPju0+UucOskiFdc7Fbwp0OHZprh9Z/9r5l9cXnC2Q4IweUIuCT28BS740l/B98Imw3BEZ +FT70L8hTssEZ3oD6k/IvCi5VniQ2otqEMxyL9erxW1cu+TWPobi8JIro+cMWEDGhAFiQNH31j3QG +/SjjxuZFkU1wLPJOOysF9ijoK3+BtLhPHsfzTa3VoIKUhJDQYbUYCLtO+JLwjQIx4fO5o50KvUzz +10ETArPZQzHffGHW5zbMS2V7iTX7Nyvdv5ZIeqSdqnYsyqH2kCwOm9Go6g9xorXaVFQYjgLhlC0k ++2mMBQYcX0PMcoIMYxhgz0JCudcomq76f3eT53I7jU9rJcRrt6isDrtyeJ5biAI1irskWP3/rdI9 +67Msl009xMFwRiS5pRgdCzBUl0BosAviZGLC63bgXTNdg0mLxW8OTAWtHAr75MOS3FyX1PMwWtJ6 +r2wOBNXYkkNTCR0EIu2QaV+K3QigR6EBZ1LqXWWqo5jNzh165IxjLpRvbsZggEe64fdpC51eVYsb +1oKK0gGOQY4PtsDOp7TNClwf40yLwoClvyByuluC7/F2AFJr+TaOK2+a9szd1EhKXrKLhGF5I57N +7NIfUv9KSUjJHUZmK/9Qi2AtbBV1NyVk4F0ShcW3nMOe7zg+jVLDTdjk6JFKM2B/Vgf72Uii9V4N +INOmEIlbcUjdjoJ3KH7SRbUZ8oXRapspATrK48AnmLlrpG3HE1OOBzpU+0BLFLXyMzmDFc7AQmPH +tieL6+uUJRVd7edYeBFw4GnEi/0L/pBHXYE8ARAPdpnkSVPhBXE5PvPNO6YyZhGmGD6utHsW/VRQ +F74loFdQwkZWUdQBHuGr3057PqIeXnR1diuIVuhd2lR/nhIjP1bNQLykcYAlR8gyxY3IAa/S1QFA +c8Rdc9aZfCA3qX0+q8NECw7hnN80p9YTQAtXFRzOaV592pvvr6kcFOcPLzLVrk02uyGZ5jXJz5NV +oNds/VvljTw8J2I9YfUQnMs4Qf7BwHDGxxBUn2XB36wPALQbJqVU41ibnuKKO6VyYmo2yP2LLdch +96EQYFQ6dVJKl1xOKb6zqGYy7uXQgq7vSVpjSw8CMTHngmSP6OEmgvEQ5oy9DRZgFEhbCKzBW/WY +R8FiTa1EfFAuC7OyvAE3tAce6yvOBDgpk+Orhg5ocE6dQZ7oRnTi/uHpYPU4q0RVcV6h7Ey+0/eu +oh6whvO5dNJGt/XlAfc63HzQh5dG/OkJ79BWiiyUSIH8KF8KwBd8DtsAp7OUntTLnV5SaK5ZpBmF +jcIUTk/IJAVuwVBCtDhRoLhsb6HMAHoXprQQKO00cB9b3sJi7FXMztlxIwTdVIk1Uv/CrTljLRi+ +wkLn823t40R+OXICUgZraMYDOU3VRg/0q6RUnCE4khqVs3aUEgRoGOUx/1bawJAkYDLflDKA4o/Q +olz8Y6FMLKBiNm53hdSMQjh1MCBc4h/DzYiSCcoPN/NKdQrW73F3zvY7lLM9vVoNVyjoBkIINpZl +Dze42Ci3BCsj+3QmcbCOVmNUoP18ubYQuY8vIm1pcALDbnXqzoFkcbcS8K7/U2zCoeM4mfPJ9p1i +tpJZvcyJFEJuix5E6TKl1vdazEn4vHpZvltUJ1Gsxl8oerIZ+xm1N6vZdx1xAHk6k+0i2sAF3Co5 +hRjiMLhGBsRqOSja0HOyXoMhAplmvzz6dClIK88+135fQM18SjjMPp91gjGEEcDFDBb7QBuBvLco +Co41eTrE/P68SkOZSKQ9SD1u2OOYIBoKUe7dxdv+6hGKKT0X9wsGS4kX7+mxFmeGJoP/v7skhVUg +9ArVeYhD/6BIJO7kg/hrDDhw55aXa+yg41a90iggo6GKFOC9J8wbH7vpkntWJEdWYum8vCQy1oZs +A4y8cXYnRWg4mo0ittgaWPNNhyZ+i+bsAtB2dis0ukxjicRnyC7tWu7SKdN/fcm1RnYpmfhIWfX0 +l1mWgMwUGWTEbr6MZcLBaQonnfsSC+Qmo6OJIAKP9/3La0oG+/u6ntujHESr05stN2ueGG04zAlB +ZXsiXUqKaIPlp3lsAAaZUvxUB8CwqP+89dOhKglrSidXlZfNVlvH/tElph66PSOYPSHo7FrnT0Uf +0FgBurWSF37E7Q/myxUFFMqbcHxRUQ+jzeVjhYMXoISl87oRThR7dH9bcrwzhdJmtB/0LspEdz7D +qu21ccn9gCxHjut8oXzgemzyZLASS7VK64HESrRxLwezqkptnQJQ1C5RgisF4YVYUF1Ui1t2trvV +dSaaz7hRldk2lHyIn5hzXc8CulDUHCvNiCtP7wSZdhjEITJ2xOiQSk+N67x14BV6sscjTwseyICz +13A9anrcyLMG8U2BDEaqeHA5nGFia1EESs9eSxFfPVPA6b4EGy5SURCmhdp/IPAD+r2iaiETfmJc +W+5/Qfp0db99Ij5JzvLpWrn5ZfAn7segktQ4zD5hddVls06U7InI02HTgCbcc79OoBknaCiHdaSE +rh0KjHJ704Qbj1WYLkOh8x1iU/X5g1OfkrejIrPRNtX1XytSutQSw8KKkLj4dmgmxmCDRFQrfsb3 +3ZV85lWk6NJLnbaUwbwUUSF9B4bMTjhurQkKPctz5lAJJwmOL4uqO8SIKHC45ODysay//6UeM8KT +eEac87dKBfLrL4/VuvEaF/gfXZ38jRsXTzZqBZYVe5vxmM1aWx3oH4WZyYknO8MQuPUz+JpaLDOf +SUUEnWZZxHppfbMLoh4WF2t/BlFtpIsko+bpMdj+ko4ObzQGt2dRKw+wcx6eaJhSoNIlwoy21yDs +O72lxmUAXjTtHj4iQfYY4uXVbPA+vbIjUTJ7DlEnRPdRcyohoOETLUPBJt0HNxySzjCNZmRCb2Sc +8xfDT1spFTqhEoNFNhqpUcPCJHJOgo2sgh2N6hNzeK831BeECEbjLyCaVS5rjp6sqyfU152c12Hk +4a7jQNbtmN4DLItnZEdGmSfitwti9PFpLjzl86w/l+GRNEvD2CQhGQOVa7gtJ6WCDeP58HvZHTpL +p0pJAUO06gonG3Cz6gF8kpr1/v/vdE4bMu+7pxIFK8NJt5Mta6FDzIKdZ5he3jSd3+ccNfufzAwh +3WNuycfdNmGHzQtYjbOZNZCkXIdkDfjT47dTTCgNq3fH81VpyakW25A12J64QDKDmYmDUE243ZF2 +xr5zHNp5luLyIdDlGARQq4LkJ7AY0PRAyHAfv5MFlKCv2KS+zl9BJMjVYwOX+2IDOFwNdrSCdXwy +RFjEqymteqx1bgCvYZeazgKVndkk2Nfscic3KH76xNdd3cYlrtCOGP8EfdSI9qlDl7a1WMa2QP8c +9VVKYC2Cj3dfJ8TsiUMpPCyRTxdLn8/HrrLvGZ3LqKeV0mMQ2gODbH/KcuwuIHtaY2LLgHpeDXbb +5TVKCZM6B7uLPYCYQw+qOO9IhFh031h2QHbGjkBxFM4+RM29NT9RzU9Jb8Tt746UGBbZBHqPgZGo +n8BTkGXXh++Xm276ZmEKXGTvf4n6XGxoFlunooxWV901VnjLQZhy6hVL+BBqmwUDqRRqXZkWGLVt +Oeu+6fkYgrcqDTq5ToOW/m8upsLxFZZMN6r0gFsMhDURpBdOJ1KeCYns2HCIuFsgHzAuLhVePs74 +SX/LjPY1HR23BYXHnNblluHNg2ufVXBJwlRC+lO85bbPJ5WGrM7uqKD22HSF3zTVW82h1fBCIF3u +vWSOIQuPyDpQ2dOc1Ljoz8OU+YzdA7spzWaSSiyUp0nApFiTgAqCQ/nD6kvxk8m3b0xq0KMaOz6O +xLX1ffOsGI3O53kex2QNSRd8z73M6ssXL1HShrTzz5ONnq8RPy/WxEBNRhdAvcDKybi6YwRuaNfI +OQrwpnZUVWJCMVtz+O5w4Wglaimq0FYMcDKa0B+c0vu9nMAWqfMEEp2FlyKklzYPKt+OLtH86x77 +h+FNyLTlybr007BJ9Ep4CLBiNjuQPSulPDLnmhSGJMHh87HjRq6Hc53c5deSiOS5k2RuAykMAVtG +VSDvhasArTaUwd1CncpfjUKkUV1jV6siU91GDVf/i+Ks1kzDTbqSRp0JkfTdmc+i9Q7F3YpKBXHP +RayEQB7pVV/wBgI5p83LggTel8MySJHDFAyKMyWVTxpmpUmUBaJJ1o/yVMTd8pHBtZykTIhDI1z6 +u+1fGD91JYbXmFFKpLEzMjRLiLvcEkArstA4f+GfhRB7vC3rE7bJ3yrM1AI+8N7sJVTNKahmroqU +8dEmwVAYaQSt6lm2CKxlkKyZhEwzds1/tVQoAPfnw+6Ut0gou0lZ4hR1G4pB+G/43DNc1j+dP4Cb +ZX/rsHTmc7eEOPizx+jczKRJ7V9exXYBH/HA2XUhEgrzJX3+cckwYIg/wyjHjpjYRF9NQnW9LyQE +Dnp0pJtqdNmnCZGWdAA+VkLjlVytKTmJ96lWwhSeY6Byl1p4095sUu41JFP+y+Jg0+/gjIkWhrOd +D+a4NG4wLzaFGTGzTHC5JRV4OfEhQdOv/2poTZCnJf3mUb5TNrnHxWFtxfuuXfh7DDzxG9bBl3tV +o9OQdQqeu2ULKNoAzpSTdTjjwkHhiWNRs4ZtRdCHcV6kVPh/m2uIHZp+aXDvauGGhfkX+OurPa+o +E+SPJvnu/Ino/RdgEPIxhuP8PBxn6ijNp+KIUort5vPDSSuh5PMnxDEeaLI7sOoHmxPzP1g5dw1l +Ugrl/G2EitwwZ5MSCi5Jbn2T0j+NoTfrwKJwlDUJ3McKen7eLCDkM9ouAEAUpw58fnXaf/M94tzh +sm+fMY7R1uy60GjsSwi66ePao1PnK2H4kZ/Y1XqUFiKBJnutMKyOqn5aH+NY3pxH58gPnWabJoeJ +CmPAE7KxDMqs87pqeHXOUPB2lBBCvTBUi9mNMpTNrl2UKp4J9e2wqUCBRvjHk4gkMJarh5EqWEMF +7OcEaQeg8ncERPNyd1adEvvP5+LlE9o+1tbZE75k5e2B2f52bEffJF1r+m6W12W91Vs1BGNIJS1A +QybCePvguynGNZXcErbfK2+p8eVnUQxcMTzINV7JNRJbZIE4SKih6tOi+W4qe6rcpHmLdg55m4lV +E2OvzeBvB+WJgTJVaM2JtYyXG78d6g0AwpbW4ZuO+Y7fAGrGDUNRcyYZzHkWz6WNhm6fT6vpATDp +f9/pKN6s4bdt3qWYXF4lD2umcPaSuRLxjiBWL90rgiR65RgtHxhSikcz1Hw6Ymh2MTG/flUwEmeY +qJRGoxqYLceWA1azKcM+XWx/m4+DjB4cA5vSIv9x5GdB/c8klGhqRO8pJGBD7kHsmwdYb4W07bem +01f5wdtxoQcydRpVeUIC848znJ64R/4PLRUGbplI8RrJzinTmAsydNdWqK1yzoyQO5oLK+3fwqEj +aD8zQMac0kasUaokb/nlhm4uMQEKz5RnIvOetA/qhvQ7ZafhTzo5jSfgnYwqReU2PtDYvi7/eHx+ +vnHpxY4mfaikpRnmCl09EYaXzB/rIGioCnWydSnWU7QBXr2GaGwzszdpkOjGBPQMamaMCdbgn+jK +e+5ltFlQzX7R7x80yF6pz+sJ8VIh9fwIDPEg+maN5kl8kbXlrlSN61RBOMiktIc8XTN9KN/65RVy +cdUdqeea+qL5v7iHQ9axZ3snCju9TTAfWY4ZCfxkuebOOly7DuOIKbkmWSEH21yv9pt+Otnvfu1c +c9DPK1MQ+jQyO4XXWPXyxHBir7F9SAou2snt8+iGdMfDzZSKc89uwNyq201jLzvAJpKkj2jXM4c9 +/f1y0Tq3WhGbJdss2ESXvvo27Alexs/UYtLdqyYe0KUKEewDqWaz2zU1p1XtLfCjfIclsniwrEhA +U3rXJko/nvuWPo5FF/867YamvH7bU/VLmesoOTdp2fiEeQviHPWx8seFAUmJyWieFdmNlX32k4dR +0G9pytg7isFw9zw9tQxi6f5o9eVUbqPwP4yGERFUSY8WZalZvy/qx1+Oy+cUG0l9p7YJhiYLDDvs +d31osW5z49ZzkQh5B3EINxcGUB0A0yP7dC/wUex8hccK2/OJCFM/rdGfnJhLQOzwoP/qwAolLQTu +7Ch+Wo3cemY6S3Jbvpc5APH+YKOhLdFv8WoM3Nk6hHbZLLJ0XpT5GcUPaoOL1XzetxGxgRUmfSE1 +SEeHbXGl//PtROp0F0JVJuJGCAPH2si1prfG5j3OxJKUlKBQwnoMq7fnIZkyKkrjFYJuwEZpVCBF +6chw9t/9OlK+mWJcnAXgzqouJ7kMctSYdJB4mFAa4zm+YD6l6tgr+b7bfr/E17jhSW4zBp98x1BA +w+7IhIz0BRfFTBrcSMZPz1wPUrkXBce7Qbts1G+B0PhUnpz+sg0z6t/W5kEaRq/gd6Oeu96XZAF+ +EO+zhhiyalurVtqo7p3TfSIC6L8A+aLYUmLYJ3VgH2wmzTm4o4ZRk9t5qHQjsKaIthQmxsJ7tRMk +5J8sB43EB+JJlnNqd4cPT2UUAeZ2dkbHHeuqqQdFuGsqK54Z3lnlQze95IrzgOZeqHoMlIZzlthE +LIFKzpBA2sZu6dvuYomJ84pQC/p/DHVF5K0FqPD5SHFOwmpsYTnfNUv/LL8Qf9CC0Cu0/IRR4mZQ +dErKuaYJ5oADXQMOttKSJn3fhv3voA2IzFxaNTbfZJ07KMG0lGa4zMucvmphSJFcN2LnKJpbJPrV +N7VEbLD9mKnYYZdesVDEz/7z/JuqoAGFEHHo7qNho9U6No4WTPbGkXjfPGPMZ3Xe/Y/9b7t1YerO +r7O22KSkH8JeKHADUvWhtvHcIC15rmQU5fxdFB89vgHGsBHMyt7NEwpKJ6DDIgQRPgjkBLjpgcfM +DkLCCAIDJ31rJzXQ44/RevP6pzZ862XBcc1WoycTizFeK9Mrj5EMldYIo0ndys4t8WYhcKelOzhm +NPFoF6HTZQiigkRtWKd2faAtJ9RgzY58u5VfqeyHz42QG8uniutLw/MBuftCOW0UegJ5YB1eA8Ng +7kAeNpjK4vXh+2ZCtbqt87nt9etY2+3yifisLWRRctrxGzB3noFVQ8MqgeK7gY8aGVGNqbc/lob8 +r9YnF0GWOpZeuJoDQ5oWGhluXGltjgYEe1RbVGMZm/B2rqWaBSx1yXAqAc6EB9m2LR/r4GLLjs1L +l3h5A2JHmWn0/60o26cykoMis02wwbFnVINuHboyb9VklcZSxu1arByDhXyE6qm59WwxbeSOTWd7 +zf4Ts/i/0XN7NSlIk3ks8wUzmoaA2RGvdt7i9hcKlk6dJCBjVu7c2XWN5P5hJynieGB0X382IJCl +P2nqgzdGJgJ9Ggo8SEEjplHOZW8YO1DITybMML2mt2w47Fuga2hTWQ9x5PSCEbzaTYt5AE6hlZBz +zSfa/Rn8hARyeKqdnowopvDeXb+BOwh5r2j3G0KF0muduclFMEGvJOQiPI9FsYr3Xe4XBVaMtKAM +a59i637nLtGKbCpNaY1wtrzEr713RF96Tci2cgnCcOOmkYvJCUCMz+u314KI4yGg6YP5zLQ0247a +ADwdqe7QT0SUP+OEKUPCY1fSoCaDfjYhyoHozkGsE6aB6hGOQ/w9dGyW6OrSfg2rqxDbLHzvSQXY +jBsPwruxFM7eSp9tC/HvKqU0GPOZPAkMM+B9pHPD4su8EDYj7XR6XFKA/LN/eu5Nb4dgENodcosy +8l5GceEmoiOg8tEUPOis9eSZ2Ft1ZX95SUikraC2MzM29eAHCwtpgsF8SqngQKzLgkD1ErEaSUXU +QXuvzIYjjjZvY5w3TfCj6Y6JrNASOx1n/SE+QDF/UrbqxktI9apAdczJ3r59vuHaNxL+QYKA+D8I +7Fbn3P7xul4r4h+epFRHZfXQijUYQO2JyymYGiaFu7JGHfowlEc1isrx3u0itei27CEEkBRGQwg5 +7Rc0NTAfM5DkWYl8PraZasi3g5wYvZhrpU4VhFiOlrRQbojyiNJ7VVaE/2GzaTwgvqyVNY7FPOOC +2cPbVcz9T8DQlR73cD1gU/l0pzwPMlyPWxgMo0/780vYsNUo/K8h7OaehuqlitBaGBXg/NYjfm80 +c4N/wC+003d+rxXMtkThXruKSUh78wvfmMX9cmHBrFVsbmpBc+oy3xXIj9v8CO/aclIfjq9NIyre +tGs+IvdLouucUEXQ6RQZqaOw3mSpYkiJhSmDkVGkDOuxitF4H+4purJgnJpuLApSofhT5eUs+ZEc +boHwAmnHgzGQx7mRNsCXsRts1ITdEci+M0cSfQWLXNUmPSaiTGpfk1vLe3tK8M067Usx7Cx3PJQd +jME9xCD91VccnxCpsaMC69uq4geGGAd9K6WCGWikCWhl1f/UXln+O48aEP0qkePzdgDWGYelzsRH +Hg62x/sxGEdBcXn4FwhAo+duPS8hw8LwlBBJdMco96g//OGZxX6nHqROb3oVnA/4PiSJBE2HlNVG +QTknEvQAcF7TAK/A2KKF0rkrQk1LZXstvDNPsrfXt/DcB339YtPcErP1yM1g8aMuAw7GQsxK0Zo2 +wMmnmgg0nRkeFzmMoeWEWG3ZjmyfFS1hhUSJMqoP7U6Ndwkwrqs3/kxgiQB2ucDdluqYl41M2We/ +cPLwVUco+A+FwGVn01VOgTDi4WKTVDFHCzLPrtPPTMJW+X7kVq+jMeANK4vVDV3dPs/5CTEjVubO +YoqOqDU6CGS1jIRhBSj9kJJfDUn1yDHsryy3M5xXyR9T2M5pOKuhW7WpRIWZ7lpbOocl6w8dumuK +ty7CacG6u6iEoe+ViWTg+w2EjuCdpLE6Ka40uLoTR0Yu0mYZ0ZjLeqo7NvUeN0/iFAuz1Akg8jzr +3c9nBUYNXcI+ZxmWixw2//Czktekf8TEFzQqfwg81+eYBvXBui88nEZGGN/GtatJASyE12tPuaui +4W7dwd5aXmJF46IIREJykI7u1x5CwlmPXb4reyrBM2+pCSwD0i2C6J4btndaCunkeB2Sih0Qva+6 +FdXoV0yvk06Cj915cNbKxYR3E3zP8oUj8eCGyq5Gm5d2UUBG2B53HikpUBzHnzEhjVubtmBlrK1j +Wqzgsy/bAzTYQN9zM2vwYKpMkospEs+ySzNiIihrwSB6IsbyXNS1mEo5rW3PtpMoratZuy92orRu +oIDrd4GWfPTzXXkM3nF0HU9jGgZYwLhd8oZDcrOUq84pTUUjvyO5eyZl+M5lvk2kWfA1EZSbc10N +IfO7G7nMnWJaXjwr7n6mlJXbQzTZYHHfgrU6EWdXeRHsJqtZiTU5YqAB9vFPDPFLD3oX1FiCkO3X +dKRpc1YA4ZFN5I1F/D+TFOs6ZHiwfVMuTHJKS9SxRn7Y+nhNic3EeDx8gphRD1BeeFCOYBQ5PeQN +9qfPWkFzyDxLkhlb+s4tdBHHT5LyQNP4gRpt06IHhlHuf00kgZbsfRuY08wwSRQw+CXxm3r4AcO5 +/X7LHI5cw7OnOQ05uthc6drbFizjxKOlpPlIUfVjU140cHKkgqEQAXr6gpb9TXzkxx8fmIPOixqJ +5JwDBwAIgRnNild/avzvztRYj34Ikw/QRXzmzmL431hchi3L5e0HFIkMo0pN9/3Sx6RBzm2TUVCq +RDz4kvFMOygJ48To3/Q0q1pbojAQbko5AIgDwXowF6o/W3VId+w/u50KmsHRyh052jJTrYOlfcJE +Bl6QdUrrq7gzRHB6PPge1G8UqP19rkcUFikeGxvZRjrNjtJHNMR8ylI6M0fhz6Zvy0ddiaRVoGH8 +clo9sZopORd+CIAyxpnRbrhz+aDXFX3na2K4dWMJ5TkRBHprnHUiSJMw5PrVy3nB063ConyomNZW +rM3FKhmsUq0IhLkv/wyppoqXiutA6/HT+NiNZ1aufcxuCWVWqcVKYEEY1Ho0Ja38j5Qyz+WdXsKl +qTR+kFS80nYSGjSk1qF9ofuGvAvwnFwhqtGXipgOAl3+7CL8Qxa0NsLATPirhOav3UnhGrt02ruU +USr6iAgzkoINknJwDBUa1b1a7qGqY3uzE8lvTzhbWlo+LcPZT6axHu1btJdtb0y34+jZEfMATyR/ +cF3HZmJsqs8LZ6L8M3QRusHFB0WBLEKeqMGFi6w42dN6Jgo7doGXiGcZvvWuR1waUPiViggypq6C +jiXvLrndD2iR29as9mPp8HUZ6k3+ZF1CBjsKpHJgyFi2vl4WVjIS++DJyp8KOPhXOgmet4wHOnDA +2716kv8qdOXfjfUoJSGGcxp3QZrSpAJBr7OvIsH6wkGC/Ua/ldXBktfwR6rU9oZ8qFQza8baKsCw +g3bYtGnzPKsKxAum4WzdaUiPAoJD5pXVAsPBP2H67hoUcS9BINdSEnMBuyq/ythkjX00PKOKrA8g +SX7zHFVoFq3hOOBJ8BL3h288pN/H+qTNUD9M06SRAxoOP1+JH9m6thE0iyaljS3VXD4tIu8cei0J +Qya6Pva0qUJ85DTDrfXDtkmcQ8YVphlVXVyCj/bBQA8W9xyYJqNfF3Ob4HXscPm6ivAREKs//rZc +Kb6sh1oe7SaBCRri6jh9fAlQ4hD2WNTNeJH5z2qx2nUS1dfpbCAVU8DA9RDAmwDJV4wocPXYbxt/ +/7sqBqbHir99HIH09izpU9PbSN4Js0/ZyMvNmi1jzpel4XtnV8pt2V2FBlRAiQDcplVpw8yYH4jL +y6s9XijfRigdl2pegY95Ug/VdkJFbaxlYn/PGtUnFtFEPh/SJp36nZs/vdbpHdg5sSMHOOmeUBXt +/reuUv1zmy6PZmjH/U5UnZIy2Jf40HhPYz2yiGy7Sae5xkCnwQUlDXSpAfFSTLswHRTnzkqaP5FG +67tRa5v/0AjjFtS/agoGy2wqEh8ZPNrgX8nGIwLmoxcmJm6i+qfZgqEzxqtOKaISOC2iutuQY5y1 +PlMz6CFBQ92ZJi+pMJx93r4lFFmLVQeLDCNSaRLaPsAvMYceS8oRBrqx0EdH9FOjV7MwbZmQbmSk +4vBHe8jsHfnq5/EDGFUaPRuI3u5JixMIDWFnn+aogDp+wuxsIaPWWv8rZOd2WsFpRWCXwezPqbRc +w7/c4zie+F+vEsre9awyvp3c2uMMr/coACgNwvc0PnaDRfjc4mpHohsbWUK3XCX+oZtv/eXZ4gXX +WJ9Z1A5xVWjUNXlgTKG+xUu2qTitvVB56eUUGp+RVG03sT9cMhFjKDLYKgF2D2MG5YDgqEQ2UUXq +NVRh0fqydh8tivLeO5ebXSzox0wkBAS5bFl/ZWlAMEz8jrZbhD/F5JSC9v3EmFgK/zN0XPLkAsDa +60Vwl3nDPT/cgIwkIInkhY7ShP4Pre72Id4jCpYbdfT2BTy04GBJcT4DXmV+dYy+IYFhy2jwR7rd +T/suwJwfXT3LshZU0e4phOipXqxGWKhDEmXssMysqRHoAL3hhWWiV2PM/jTBwZcgIu4NLpAjSUPM +3ruzmX7Wt55i16vzD37ViWbTiZQmZ8UfMgOKomN579RKXJGVNMK3ntOSfGDbC3GBrgDRmSI9cx8B +j3Sd0dN6G3+4orqwfZKMRL5P4q+sQPsAUSIcUgBkV3rNBs0TEcZ+tb3ygFReDXgnUCUge7uki5Fs +tWDJTrG/bSCGs86+RUkwyVD1dd8YWD9YOYWFTQNAdQB0Kd7VXLNETkpF7agqWBXZrO6i9aBNzRfu +wM7F3CfAW9+5Z8d8LCrFozyChboilSd8XduzlXZkDsPAofwWb2y0/BQvcf30/EYwTadwWsd8KzOP +HJZ9NblTOq6b+4I/j6fgQabEEeGYkQJjs+xUaHa71zu1NvESQOjcW0dAYU8daqHrYTXfZAwp4pIs +rx8UOhVaWYSUIqnEt745f73bRBQqlltv6HPahc9hTn8Vvr+xQjwMTyGYKKQMikSHVHjXovBrgoxb +JCw1N0sf0gT7DTj23MJhg8gihBQHRHk9A+BRaVak2F1et8QKaY1Jbr+iV5d5Cd1329uXgMFBSRZq +Jmez0mOqeMuivQpfmC3oFxs27XYSaoXBabfTIXI20FjHgKNnzWZdYGsiupu+/+L6wjYrZVI0T0g2 +cH0nQRP00PSZwgk5NQFk4fDed/OAO4BRtgABcrH9VZCl10FIs81Mj5YWX87kRFNxxXd7rzenTW55 +5/9waZtZxZPo5q81NLwvwsO3qWn6DDkseNzarBNtEDT8A0MYSd2NFiSG1A/3stcDNIdh4kYAjX+A +XzU388wKtqWoX4F3QYzPpOFIl1i5rvxUNLoSuh4nSzqLeujp6TuXZZFyAnK7j82NHW9Xi4V1dof2 +XPzNqoE6UAjfa4B4/pfKZJl38uSvdB1tfwwh7prhDyGp4v3cp/EMTfljKSgMCwOrUQ1p4q/alo/b +pT68XoVk44HHZ0RakxpHLxYV0JiB2/5jdyeVGdaqTOV85aiHpnEgP8F7mKmbd2VgWkmMnc/t0Hp2 +jQRUyosB+31EHm67Nw27Ch6soLBRyepRLuM7wTVH0euzpw4ZlxN+/5urA/AtXPRVddc0vYji0BQS +wWj7Z4SreugBqDuKMHe0D0rexXbHMZeBwnrfvxnDYX0MSw10TTEPqNDKWqG17RixlNf2YquFbuo5 +eRyAxJtfyFVZTEZ/31UhfLfjiJ0SOMk8/lhgd6bHQhLRsnMvlpJXndLDtJ67KWto10+1DtLC7yRd +tUXc8fn6/M6RiOZ+8wBqCi3aDQt74j0CNcUWI0V99Db+KeEWpDoVNfZc7Q+CVt0pIV4uf2WbYAPG +eAnacYker0ARu5jWzL8ySTMHh8inYUAczYG8IStFCralRHDUsAY+2vI7jm9zzvDBzqMFNQQCohuk +zx8TTj/YB9MzhIBN5fH5V/w0nVyG4KC9+4CcCPZxMzzRz04B1lwRvOGbAuwi+RsQgO45e8riVBop +WicyAGlTx+/e/8DL7ZgGkLwe9pJOAGPSC2CdlWZKMZE1ZQg/oBYQ2/Ma5XMSxo3OQNRTvyS2Cnvi +TosbyfK5eirumYy2SxtP659im9D4NxhfvCwNdNpfXiKYfBz3NRQwHYp8pD38ptgCewsteKCon8/F +y6iPnS2KpBH8zv8Jok7lkV20b/19iIyjlzkjIHYW8fglK0BWiKFS2QFgjuErGxthGCE0bK9iugww +eA7nrutZmanVjhxA0mF07eXYIwznkn1kFXgoF0Qb0wsi1WF7fUqmgA7Cngt+4SfJ2d8OMdmDcnyR +1sq7H/BMRLr036VbfwLotve53Zru3TmgrGoF3Bp0S/W17BucAJhtnpOXxsdHYQrJbIwKxVIDiBpo +NcAji80Xxi3zrWnvj615W++RFpkZu1cg4MM1NFszW0gp+BkNyebUmLuHUoI2z62Aja5ipj+RfNJQ +AUSPU/b0S/bHD0WsFZYteUTc52n02YWb6a2G/DOZQEukZxQM252wrIvDZe+vozqIPwSDqcaVFVOt +bjFagIboMqiYg0w0WaDhsQeVvFFEwJh4Td/sUhxaRd/Jgw7T6Qnokw9Khqqe1RtvcbGluHwHabBe +bk75t+Vtig4AZQNfjHVZv+Cj24xbWBjWznh3EZo3cTFWMTDd863WBPgKSo056Ol3hA+WLqndOqvT +I72qd4r4VTmYe4BwJLjCFH00xkT8dpAC39DQbywUaj3Y4IIoWW7MhQa/e2KeP1XJgcFmth/q8Hl+ +IUOQ9k/t3TNPko0lf/htn8dizVUc4GIzqKZjDCQdeTvp888+uHiPKYl/mvgcseJqdEwBuBmL1xn4 +qdSg/CJ0Jp4u3RpIQMjMJLOYAqHsbbj4Vl3tmNieeWA+t1LeFO+NH9GzXUw7f5aY9yORCuIeI96Z +Wnz4H2hw/Xj1qNq/j4U/wSsPqDnX+KGsaadNWUAZ54INIODLWI8I4Gun4GQEExB1w81wRsHv5PtI +KKbKgxybyxkIeaCdi9tLfQyPMP/cbMA3rl/N2ExXL0pqEdFyo90NXNqlDs+R9UlxnjaO/YycJKrw +nGxpLzOimDnOr5PBsfy+i44Ge5WC4j17sNRYZRUK6KtqsPn+qOD/XJwb43USMlpzgA+ePssVXl2J +JRbon8p1zpjewLkQBbHGXJnKCtJragM+ToGke7RQ0cVUhJpLD/xpb4xP1ouKiTxOYw+/1aZ1H7vN +xwFe7WQJR9WisyMdk8VH4yuxf37X7G009q208MNoZ7lK32GhHZX49nUtMn/gi73fiEOtaUcnCS01 +JtKDT9ClfWwurqi6Go6C0/Y49pwJ0sHkFNVLs64EwvqmqvAqd0wq2k66D9wU2Vl4yrkOECmsjB9R +gadt0smHBc8VwFeWri7f6zpgg9lsxFAVlI1rKmtLktIr3u/7L9EffwoVIdDV8QVDKWHie5E2rHVj +HAZb386hlN3GCqY/iecuSR77QETZ6+lJpaDOcVHguOFRUMXQ+/Wl5szpXJ5BPTxurKCRsHbrnVdu +qlZjrYVdIHwHlQz78kbrXHjQQOgqR2jot0AETyigUdc75b8sBLccgqPQKL5g5t1XCKivMLhmLbT0 +oVXH6Xw3hqjxo33WcsxDkL7piebSpK1Fn9OvT9zlfHmzrAIfwZvGqdruurG7qxo0sFXryHQKoi02 +1f7esm+ZzHjQtqxWtfW4M2JiudzrL3SPiTuxFicQFZj2RyZNxShyLagS1YeFY25MOdHvKjfIH689 +i7OKmM3W4x2wzWn8QFLEK51bQe6d6T6dxtz8tgpaq8wKQfZ70amFQZPlN9fXTNxc01PfQkXQZyZb +g4pE3D27UYr8cIucjYX3iGEVHfc30M7JIXQ0WRlNOhk0ZBGhcHEtEjjcbU5gzjUwx/OrcFqAuKow +nKPjQOcaCMZJWzlNIV7Jq+2dSFRqGbgyQnwgMAm8O/ihS+C+AwnF0+efVVhvQ1zGy0fxHc1NllfW +bQ49VzwWDM2rkCwyVJwrl8AKRFsZcDio5ZMatSlCOoisAQp9LgyNwrhLBJ4M14I+2FdVMM1/5giO +/yx11o5Ll3hjBlTjfHmgB9mSy/fyAIb45VRFaapodIEotjAsgDGqRdPoCc1natpyOxDr/7K+snAM +Gfv3J1Tn/FKfhcPnAqH3e+YKlFAdps8ikowSasrRUYqJOM0QQt4xdV43e+E9bj1go0yhsam+xRs/ +P/HN/ij3srbkq780hD/sua9ZlXZZqvYofDClJj/zuAbHWl2s9ORgdGbw80x+fI0fbVw/G3wcphTz +8iFkdskdbdnloVQ6Gj9TSImpoMWLKow3DdMmEXfZPfUAs7b5Bq9yIBJwsC9arnD4EoGKZiQH0or5 +sOapJ5sGyr0oEaAQsN3zme+c91seD+HWB+mSdB45GZ5PRJERJtDpOSqgF9q/MF0T6OiViF3Lh4z/ +SklBhOmRpwN5dyKDKOkxi1uHQTj3oldBsMCpNgYsfA0Flwy6IVMdehkw7+8i07YUJuPFq7xEIrqu +Mm3aKwVZiFFg9dsqQAjQHtEF8iv0laQjTmkv6laxaDciAs0UvJoiwfSE0u46ADvp8c9ANbpcrQLk +laGbtQLc4BQpE9Tf1su04wooOWJj+eeSj/A5IrGZ8xdkgjvGcJ3t9k7UasYtj48OCeQ+LHiveGDF +JpSjFkY7zmDLg1kYFL/80YzqpOmo4AIQU6YaP11vYs7KGbP/5HvDc/6KdgmNULw9h20nA92sI0Lu +UGQBYQihGo8y2pIJg4m3Qt43V4NBd9RWFuckvYFmzDmYbD9nxWlYG8q45+XTBIGnJzdzkgBJywLv +WJ3VGmvWTDQ4poJZFKkSuM32fiJp7c2bE4o2XBYtsLuTQYyu1L3bVWfI99dfXVr/oHioKaE4UURl +1BebOBAX1Y+V/6GAeUyA2sokXj1Zi7f70Z8RLGlLAFixaqD4AOQqKoaYO/7Yb2A1FWi5Zsi3ejfl +VdKJGUuk+ezfiUKOdqWZtlFWVxN0e0bRNGySnwDLuNe0DCjzAwBRgBwvVnyMQKJZTeqMrJTdIoQH +ESROZwM0+HQcrX2WFBo8NDqMpOi3nGJQKaLsGmE+qZDomCo72g2f4OtLUx8Hu/N8XKv5TPcwHnJk +KDrQAx+RToMpTTWQskcvYvOfYpOMW2gIOU/w02Aa/nUJRfUw17VeG0mNgIFNX8BEvx6teO8V0JFd +rSXIQUDpwK3x/KTrgnyveyldPlmfZZjNnh6BdKZbLe21qtrIZfO/IPMLvdpjYeRzrW9YLFrwq++R +OgFHSOHIey/Ki987ewP6HhEsD7EEi1tjAKQZ9UWvFkF4AwC4PFDSjSZNdv7IFKziExQU0q3yBXEe +gCIAnGOXydTTfGZFgAwd3XlgJqD81Bk+2NrUVSjRn3tUfMfjbD7PVww2hWT+S/yVkzWW4S/Yh4Eo +C6gC+qU5oMuYQZwj+HVBmhFIoL9cp0Hh/MWfjgEwiWm4LkpWn5qY9ZnYvRAwA8C1Y/XwXeUtkNq0 +/MiPrcya2SlYMejsgjqHBTso1OG28Kc2BEEj1FZuq9rGLvpIZ2g4zY2p+OVo6qGHbYLjK72hy2YW +HykI5Hqg8NIy3mOi7qH2bWYHUfCe2q4xt+CH9swewyJqCEFxfErU0IbYLe9f+DaeBVcP2YEvFydM +7RFiTngCV8qcj5utymp0nQVTYGsJfkrUDbFIZvtCTaDYTz1GESutBQQHETAMvU9bEko6Em058+Zn +EwPvGnWkb+AnvSzdlZDzUaYuX15m5ZIErxw2f9G6eXyUATx5A4Z5wuUh0QJqjWt6/V9WRs58FG6b +LtUNwo4W6VeGMRtFMt6tqrqlzg7UN+dXyUG7uGafm13XhRriB0icsYxPUJ2cw8BoyNJMDr/rWX+3 +dY1cyMarA0fx4nklpgxr9sAIjH2RIatwaz+CLlSOuUJiEfOOiH92CGhIgPXVTmL/r68ynDWv40tg +Rz0mV7v4gUcrXXwZDqDrIDyNexFv0FPyFlKdch+VOuyYNPsVKf9Qel3I9+gwsetYT3fhyLChHPcE +wnov15GS7nUF5mhoUZzPQnUBgdrJp5d4OJXAf3BIB8DZdjdAwVYL77suTitDlChEv064UndZNA3v +ZAlzlHfjipJFFZRh0sUYrmfXis5kYgwF/NfyQF3Apzi1MM17P+nuc7jFDHjkgp/3Skt8hWUs23ja +VQOsfY/X922Xqx5h9JXiHOhak+1dRj3b/sKPZcdfoCJnbYtGQ9Yqn5ozs0rdWnPL0/OPcO/7/z1r +xOvSFL1/2n9fs+Ly/eClKkE90LAzC/zA8+jMq6+IWjCzJzWlbEekDd+lBylUg4vEn9LPC8xjamYB +zXZsZaMgPJ9J95/CTrtIZOGd1hEE6jM62HNghjF6Jis4f589fkShR0S1ED/vmaIj1fFd+xo+jn+7 +8hcqjr5T2jX9YwXv1pnCUUAMUMXFqZoIj7mHf+mjSVrzcTegK4ewvAC9O03km8ekpxV+XgYCEirs +zHFmn9IRnD4+gBPe+STheQY7fwt6Tq0qfMJlHcY2XIeruJwexz+rBuzUHd1MPfXuzamY1DFT5LPx +95tHr4zQQOvTK63biCf6BXkGdwaeJPiuiXBvdZVceXG9YLXTq0lmV6e4o8w8TartH+sTeS1VfBsk +FqHuVjSAIfuLLnbj79rIacuAcxU4cyJZ/fMvAqQ5OLkZocUjO5DdGAS8in4gKCjzu71YX5KHYFPt +FXXr9876/NoVF2Gya4L8abL/U1LqkSCZehEdEBeP2NXW6NR1iPTYr9FyoOAXxLzSl+3Z2ifJHfpq +4shQ4Lkz5fzRepxNigY6F/FEzchF4/IYxQwYcb9pG6m9TNu7c0oqFtEUVqzL6kane5gUsGul5ZMX ++e52VOSgRRMK58JIU0cyXZ4I4XkaG08Xlskd8uBdYikiQidLY1BAb3W8xjMcYVQh/3zhD2K5uTl0 +rsNq/Oru6V6R89Jr/cY6uDUolHWOZes00yVW3JF3NhBPbKdX+TpK4Z9zcnM1pdujqr4NhAoIrIMH +WPfKSMoCoSIJEyOwzoqmjAUAH0HUp1wPqEghMiFXd6mr5wXZeD0LQ1JDAnCwIwTZpa+eQEWsOLb5 +QNSlkx1X2zHkmRw0sVs5bIAY0797+GvIk+CW1oB0dIwuHct8WyQFT73Vvk2LUECP/uYnUdBisrzG +UfkTvwZ/sXOs9uN32JtPCB2JDAnAZWiDC21VsdVpDnQHyUoi52oitUmUVCUC4biiUm+BwF7DCewE +bo/0sI1mpsDbv8zZuTwi2otnaNkdlsLabJ47FM9+y5kBpcbvp6mLX6dsHNyecYV0gxMTBdrgsjED +REizZWgHkhj6xMNOTt7dEnS0VO62Mpl7QNU/fk/1HA7HPO6gZW7r54F2f9b4a6b6umu5UH186KB4 +a0FfUqDYEuZjfKxlBIbq+rnI/XLwRFgYVKkdVZeIwJyRVgLc65tmOO7zrDOEO1QHekizRfqYsrkx +uJw3rrRkvUew8RhFzAREQhN+9zTO9i4O4vsTnT155zkQwWFy7CIzXHB1fjIuL7CCXDjdc7ILwsiA +619pPUplLks1WaTgwBC+a1zq+mSuA2D9ohm/BtXocEZO80wWDCpKSz7sTJwkZ2As+Q45c4riG+DN +0aAhibMPBd6jTnReY1vnQFWcPaFTJFAeow/CJBzqwgYkEsu8iCL47EJmXS01CauaQbbTThmzkFf3 +iS84y/okslnTMk8sxrgojMjTGCzxbLz+I5Q/Eqi284dNVzPfaPhgxx1UrMvvnZ4Xbl9jTPmFtqe/ +Y/MhOCe9D6xs7eKZmZvskW+anocUVWAVeiCc5grgsoYiSsrSGuZHbDh0cc2F0JAW9cBO7HCwSX8L +Ci2Yi5OieSVBFPszSJGq4GJsCR4f0WA00CWLxMqksZGmjYvYinsQXWkkD+GFHxqYnNkwPHpN8Ynf +4zwHdyIEwvubq9lDuL4BGl8f0nCGVD4hXVrPEbzjzYDS0FuplfYsbQhXooEKl0DnxUUSS1F8FnHB +mTEmbRLpcdSbWdqFhMF75pc0JoZfdlIMeQ5I6OXlEfEni5Z1oyX7XIXowAnp6NqB43TXI8tBMihN +Ezk1DIVQUHoAuBjdBis2WvYdIKKOS2yfV840Dqp5pq+5aQuHd3oeS1lvSJgInfUpCdN9hRFuhhlR +zfEDgqrrb0xMe8Zl3louZ8AA3wRVNe3/IfdHvh7QVKzBfC4Uvq05KnLbvf73Buu4ngEyJOVr008z +/d78/c8TLNmgm+PgY3f42DCvZGDGIiCmXzachcfw9CWGagCqaYrYqEBCo/yMnYh0386qJOeAs6qf +kL/5nfA7KbJOyyrwiGST7fqpgVaylamtqDYic5gqiggaGQtWWDnhiagFiQmSuqnlxMHFMp1790kt +6Nij5bfQmJ8b6o1EkdnBM+sD3oeBniV4ELltXU0DdZzRu2Jo313qwnHngJdi8rZZ4PUvA1y/v8Oi +3l8AX7AV/qNQkmBFAGXjnowZ4d0BTZjIm86cGdELm2hkuZB8UmerfUZe01cei4FAN7/W0LJ3r5JR +MpZIiJnHXWbJdjfWHoR7jU9xbQ/r9P4fS4CMzzrIjiudYmTasK5l4nLDcnQ1GSiylchaqoubvh2d +iRkb+3zxQfEKuFWdlNzQ1qNFTuvzVTb0YtVLPvUi5ENpNUQ/Ai+XfVWD74m8TpYYAaq1d3C8LXW0 +NRJEDIGbNr4U4IaxJalwliwR598mXOevaluF5rIonneygrVSaie/ym0cm7AC+QeJNJYAZR+/XfKj +OW7oJFD5UY8++A8245HLbm4/LVWySQkOhx3q4FEYAi084zjGKEHtSSp0T5aF5XtjEIx0KW2uHl3E +Ao9gyDG+xbm5A5O6m1S6yPs+M/HaKi2qziJPtWDnZj40uSES6qGzOEW2uGm1EC8xDmoLmiE8KYF0 +9iOfXLJxKYyzDHxwgczM1cNmNLaPZuS6Iuf6uz+4v3ALohUVLUNG77SaDwDReCwX3niysw6PT0u/ +eKmDkmNXffDyMWFf910g9YZ0kuuWCERNQJRVLK8dYBCPVMSu5prxpPy6TC5e7YIvC2Cit531hhOs +ZEaa3gt7eG/griB8ODwJJxGjOoixfMbqjgLKEYS5MeQ9jqqAUWSaRbg0C38Ap6JGt9R+jgf3U2ln +ZDAp6T44DDVNU2KnWO+4OndvdtkAJLR6nSA6N6RpwiyLBbs5FvQ7/AdEFyDLIIPXQQ+PyjH5Hjj6 +X7O3CtNUg2RQb9n0FR8njKMMvr47cONLejMduHVA818nQGlboM1r++DfQIjjGSj3Dz1n4JPjqAYe +awk+41paHSQp6vv6gL0UPepAUIjL6Tu/u9U2EMoLBFVepTwfklviN6fcKTIIcn4NZy9Zdxt4jzab +Upjnj+ciG23E7tdN/FywENPlZkakq3VqbJzQh99pH09LLjFbUUMQH/PAC1xS3KSJluELjSrsKY2h +jb351KibLXBe2A36G0YnWXmvOnZnczILSAHd5Y1qRt8UNoAq2M2gkwcwiOrODaqjjHe0s8n0tVF6 +rsP9XEVHFGmcK+ctYH11B7/sZ/FqK2tqCYn+N5dCFQIKSjGdkovLlWBoF3p02pdk6PytpkQZBvCO +8G7wa94dvkzKbo7tNupmKxhzALs7RfzkQbCsKxNBCE8k/3CZZ+AJM2yUjQECz1xk/tHO0YpiHRfc +/su0wld9csqCEkLWvyI3clrA74yn/79tfU1Oh/SbWlXRV3abAZtSRJtcgvqvGaA3XZpNSiz6i21y +bCKziW4ZJhYVZ0YjqRvNF1DtOYCQ/GNr0AzHQRM0t61KZ8uXpW4Mfk5cqwNdnJ4ORW7B3H7ZEU+O +V9TGwEJ/FssveluxVz+heGuLUMn7qwlq42bWVexD9X+Lm74+7gLA+nleyi/hwMSXaXbwYU8/YjT9 +qYE0ebGlA7eKYTA1glhqvrWXUCy2ELyF6cpSgYOmSUENOQCG5geys+c6tAvNOUNW47jxBF0PSy8K +YKHMDfg0VH7E4HW8lqZsDAQhDmVtWjYv+PYSaYIaYA3B+afLA7pQMu6OihcTnq/MB5nybass1yzX +qAU2pevl5ZgnUsDSdJnznepxPrNH5AJm/R7U3Zh4XFpp78qFKkWfI3XmPTgLF017MCGj4ompdkPF +SbeBj3NLOVH7B+zVCt7B2g+xwfadZbkhrWG3VMZRqMu97TmlQJ+MLkQ0S0352q3CbsFfHLnkq4Tk +kdl6l/JhGDImySixSKDybX908s6pqa0MHUDraf7tdCo4uYxDSb0GQltvfIiXr3nt1N9XuQOhMWM4 +fwixNtmc6Z2EDyPF06G0iiVmtBZfyVF+vLq5t1qUmqZlJ0bMMUbVAfclUrSYHzv2T/z5y7ngAA11 +4l98zTIxK6V4Xw6zSLLl1zuPnGPeWyES/6PbbhLZExy2+JMbBtEnGDI5ZmmvkxQCOdfzhg4TYdka +u6vqlYVgry+n+N10U+jhOO/o29+wp/aInY5r8gvMDqpe5mdmyedRibTHV0cQVK87feY1eieZs4KZ +saxIUAz68f0CAaOCS+nSepBA3RC8eRGvylsiQRB2GwkpEP4iDGcLxOC0oj8m414N0efP/BN7XL2M +6fpSXaAfOGFjv9i7TQsbG8MUHSb9otVe348XtHWQTF623ZMSv/EFAVHBlRuoGabp3AXynEg18JD4 +vMGuwZu3BLdhbVD+0eTpDbXnX3yNTk0o6aG4zM/Qu50qIWIAdOTIVzdjtLTdAfkzAct+HjB7XFXh +tsx5O1VdI62pblLQZhiuVjfgt3vv1NuoGX6m9ulBEziFXhZXxewYSubv4NePfkLo9DwebdOkuvUa +ioMx58FUUQRV6BrMd0XEdDMTBGYr6pq/C7+K2xWIu0m1zzeDEJMadR+yP8oGFLdLnSj8e1O2G3rx +QxLDGFutsc0LGok5ppuQZ2PuqleW+I6+QxycSoHajtmppcAzztf4CSeIMtRk2NJCefZVNS2J13Xl +2cDDF/0OYsc04YWZNeqOTfeXcGL6uyQXyIV7YUG97Va4JP9hXYT9bC67NaIMcQPwbiXlsZZylDJ9 +hEkbqK7Soev5ATOolsc3iSQzVedOEnqm/cXWO4hi5AMX+PsBDq2jAo5stJ64A8bducOWc0AisJiC +S7gVtiLAWBX6mjT8+8DKguQjtVY09R+NaXY0ACgJV+m9/l1AzP689S8FpS8kLyizQbI8JwJ4y9l+ +W9QrXy9QUxmEpJ7RJ7LBde0WgdqHmt6ou0xvVJ9LamQewrCOr01s8EIlmMMZIJcd8Ei0b9C3tdtL +CowebTOyidKXsr9SH61K84g4jl6lNj9IxTN64LywhTzQvYWygB3KW9UEknueUj/d4QltLgDk2Mfk +UA+PpTbLDfecQXwFxfYtr7+2HJQE1LRFSfDbyCmDQ/E7c75bdsMXNiVTH7Y8iXDinHeXMt/Vqq66 +TRn4QTP3OAEvqDDX1hjofhrbw44Da1Lr2Lrqro6gsj0r0h+Gj+Mlzv5wpxmDZBYCparvwNvmVsTR +Zmc5Hahipue4lG/1dBHtzlR/02xt0+Db12Lkzpbt3R4vMA+RINUSqCo2SuZcdC1QhRkIqQjR7bZ7 +09618RC6TlCtObcSpW9OEhU+G+bEspK8z5K/Uav6ihsXPqUrRvSZuuZXeAXbnwkqVMRtymSr2xhN +8LFiJ2XyU8dSe2vaL2FklKvW+GVpYBhhrYEyNcqtUJmjN+QESy1ZEFvL5HtDsL6gSxTllDzIWVxs +0nbFGzEg3TLBIq0rhCFwpKY1/rqSEmWNIybB9yi58ynv8oOhkBcju7XeBrCuPyoRelxWn8EJnkp3 +fswZyhOt4C0Nw314sZMMzgAze/HsrpFVMCnaOvfqAW9zHeR4WnkSPTkOp7EQo+NdfgWMp2vyVA+U +aoFjLYIhBpMIzB8IMIGNWoo9NnE2UI4dOSlbBYOW6u+hKGXOAN56ic0aNryaySP9zKSlScmGEXCU +F5I4DUqA6b1D8tEf3fOlWgeqkIle+o0LkH8dncIXBd/Y5NBOauawYp5aoCRwI8tDTRJRq3Brawb3 +VnZg8JU5onFuSEnVoz/I12mrKKmEjzps9ABqsyUwHYl0FR43kGQDjLi2xWB7uzsurWN2OZFjXUzq +oiFTuguq4WNLdN70gWcpa2jSIQ5sXp2KDkEV5CovfPAYn8a5eFRr+cbUz24FJgoGoK9NaF6rEgJi +0K5RJc/wlbiQ3fPguFcPv1FEGKx2DHBoxLi1bHxjhDWuwrmmxGd8LSnbEenidazi+9OpIXZZTEmX +MesqQg3BoXn7/BMyDMj79qPGH2VK0M7Zl+SVGtKjZfLZEqHWooyet7eCPOsujHbwd/ssLKMqnXPB +INeHZGwIpeBajDbtUp7faVaRJD0+y8k2EBx8OK4jrRyqv6AMCVtICEeJ6CDl92ysvrITXn0vBgzu +VtNX5zA3FPIlSq/Z+6zGpf15T/uY2owe5dccSHf4lJGT63qA0uEONgLqwpypzqwTE99nlfPcnhXH +HLHhL/Ll9G5FBlx+w+iUuNJt2zYW0q8nIpQVyoi5c/F2uIVzViDr4+Kl8mFsmFKpdDvmUd5tz7Lv +1kTJQsF94cwVjViEBvt+/6RP7lFDm47Dnzeta7mzl1WPCEq1eREL54XEHj1PWvVn5kTwJqJjQbkC +3V5NMGA5CxPCK/TfVW2MrB57d3WxhcWL3tZzCoOmioeqSkZdPP6RtZ24R7C8MGJXbeNNptiu4mai +E+v75A0GP4vF7sa2HAC5l2BDA3guTdoHwBqiHG/uFVTDKzDN/t1Nbjp4q8zishPCdsfHH+BRf02D +Pci09Teq/MLPlM5xoNMbf+rt/YH+HDuarj5Gr2EtDzX+SlAfXg5YSsrziFE+yMaiSnjwNf/nd89X +Dqm6K8Wd8NTKC/tys1hJT0po2N7+PFj6SH9P23o6qde5sSJOVJEQtPQsV4F36jtO4MO/YSs8A297 +8vUN1DQ/AAxEzaZJ4cv8s75aZAcZT3Ome5IXJN2hra/T6IJyHrnX5kCXlY6E8dPM6nL/XDZErWAA +zzGWP7BC1Vm28QSlA6fQ0Z351ptwEIo1ir9ZJUTTl44zBEN3rNKzd/K+sBPS1ohafkLIoKmT+O8q +mgyPd7thtFSkxmwoHMUG6ZGc6S3W3RfUFxCEbpOG620rdksUplpwZaESITjtW43oNcOtS41txvK+ +hzmgfe0FxuSCRyF0dqXcwLujV80ahTBBQwjRN/7Ek3GO4s0EQGQGGo872WC+kdiuHIokwJhUcwhW +HEI/+CTu5HdITLeSF0oNRyKk27Eise/SujCkvr2sWpuW5CdS5G25yusIQg9f4o8DJbq7lE2CIH67 +KTZ2yeEw9NYmJu7BCVjMGtXdCdoqwNEIYkuZ6F5unhdzarA7nV+LHTpxoU/CaIthTx15nqVKUaiQ +F/RCz4LQn3/6hPvs5+u4WIt0CXb0rj/SJjXfIQHuG29thWGK8Qe4pKElb2lyIhtP3vLwmc2zHdz7 +VGOsR0Jgh6GdGGM0mdh4XF16p43KodkjyeXtDsPkBI3tY1xTm3fDoNgDw4Sa3Wugdlt5LcKh2fH+ +vwh/KOd9qJUAqeCPJdRXImiGVIzB1/EIWL7VPxg9vqhi3rwps/skljSbhVY500AKg7UXlY7nrNw4 +axyW8aAGZKKm5YDm0x9Sb6gpi3GMF0LbI/e875sLBlYqwrbu46zRwYqWssc70I/5FRDlqc0VB9ym +90wl+ah5QiPWZC/Eib1afHsnIikVf/D6phwPy4nk5OiBFwv72ga4nghcbW3QzI/Z5N2Xd4p+zefL +04KxwjOlLS8h1pek5ollGVorhC90Yjj7e9WbxGSyfBp+zpHgNh9F59YNckk43vn3+aeIhY4iz+bL +igd0kT3FE/TZ+r3gUwiDVdlKz692oNlLjVRccfbZVhAtNERJ88qArJxCVgIVcQqG+r9JiXjZ/OIQ +NRCnwdqt/OqCKMltXCuebPCGPWSfZprj7JfLjRBQLlD/Q7blEucIkoIanv8c9MDbG4wQhj8qj1MF +vHeTRMXrt4PT9YZH6FzRw3G82cgftcqXEmK0jFZbCqD9hlb6Mg4NRJ5G62+qyJG4xzDFxvjzbQCn +oq1Q51c6Gqxm5bKWmt9447rqmTsAuQT+iKePjsyoDYaL1eMt0pomIAIsFpXlfnnn0ayqapwwGAJu +oO413lwcKN52xgi+v5jL5XQ83hIt2aDK+mNinTijzZx+UWhof/5LWbhJRl7IIqQi3FlIbt0QQDNQ +MW1zeB+CQJ+hTL5ifoVK4C/SdWj9JrdxWdQtIJwhIANlnMZOJDXNt1MaIwxMNBlMzB94mDvJylho +NNEQ+k0ysiXXPLd5PHjTOKzlN2n2X6zUiks2NhOjUOdhgslU94EzPlb4xm/N8q3racqm1Avb3HxO +JQdje1rg9IhZQlzojOphJAqOGkwOVIQBFULOnQNmiYfZ0dTX8aN7V6bAo1aXVI20dO5+JUgUMhnq +EL25J+UTnz4q4iPvNdFZ+t+HPS6JQv76mnJivcLfmsxPgGIJPZYIOp/tAVLFTz1k9vWK9mhoRuvX +UsmvDatS/HwFZ6abdTWXPm9gl/SpvrtsSz71WairCSIMMx0kK2btoLj71ca4GRgUdWj+WCZm0/M4 +ulVU9+HCQths8+X7guTmp6hQ4eKYPq/SSCLyFazeHDFIIDbES5GLabaMItFmHbtqf1O6WmQuzUG1 +3emTXyWHG3ON2dDTvBz/FgzZHYMc7WY1qrATOqo1yQYaDuWgw8kZjTXSWby/7gjs5ovbjbHTstfl +cFoMqtQPgkoLr5oHuKIQMtHzWfFbmS/cpmonUduR6n3lNdiHR7p/QyWViSUZ13oehwVFhpCPApeg +JAWLyFOZ/09+4BCs1IiLYzWO0/7G2gpnWQHmYhWAdSF7n0E58GUR4v0i0GjQCWzqGOSN3vV5vXrw +HBC8bHJ6KgxpUAi1KbPvzNrBx26YYdv4/LDl3mHZWUMeJhXMu4QwzDq6N2Coyr02DLixVWokD8t0 +ySiQZET6X5TPUO0AnWIK5iFRceL3PMm3my5e9eOdVFyECt6/PI50xxmgD/qk1Lgkxitg3KmawZSH +H5mmVR+wo7/8c6aLrG09aLLGJ5Q9vL5Q4SaZ7EslL9xuSOYCHj0QuyHqcjZYTA3x6K+puw4HB28N +9N3rlT9Iv5x/vFyKiT62TdCm9svFC66r9e2WMQJ4/t06rkRvDN9OgLE6eYz0kXinSI/MYKp18eBz +YP0ZENG6VRtKoB2cgV3q+cDEdxgH8iLIAcxLu5qudR0TzPjMIHiead1hVUDB/B7Qpp0S7x6umn1V +QDfm2zVjHNd8k96fALNWjii/MYpCcQvGSlysWYRF0jPlrApZ3TqAtvfY0hei3UHSTp6qloY3O/Z1 +5tjoaR30nhZ6WICdXjf/UB7E3YLQ0SSsnAIGSnjPfbkgCr2ts5ia3uktYpMMoF8TuUwZpC2WAUES +G8/21O4m20wne9JYD7+gm+my1KMsuWC4+4rsqMT4uuRLJgnE9NNhb14FiYthbPMg7GTDQ+RSfFci ++vdHGjGUFQ/CSYtHs+FLrZRs9bfRTiduwxz+P/fo0a7fIaUPZ3lEqqoDntEVEnwltK2FG9/NtecX +M3GgMvlwispw9sVn33TJOUo6PoV6SPQz20cvJVFlY4HKYki8YZSnGIyg/8UrHrU7Vuusb5mll/iF +dCbCIgHC+fnoknciJ4lt7wlo+aYJHrVw0acQOESi0ECuVZspXN1/cqgkRqXOlTvKjkqZ3vSK3MLo +Tn+SLbq6hR/bJf8uo8E8aMykk9oZAzuOK0tybllBr6T3tE80HlNrw3nX25yf8bBttrjZXEA0WDuW +cHTyShyIZ0QfeHlcnc2jH3t40bkFwXd/IAa0enBEBffSZOZSpJ4cxUV61oIZF7r2wxfZGPqZB5iA +08KawZa3TmKApnmAyNV1ov/lOS7DNkZ8nOOo+sndPjx9CWgsPJZeld+YxglZ6FxxHjxa7el5z8YT +MF7R+nlP+wzT5OBTmWzY93KCqr6a57557331blo5vNtwTvjgnWp0jcSpDm7rC8c1iONYEcr8ffVb +kKoocKrS9d31OV8bk5YjOoS6QDCxVd0BQ1K7V1Qy5GKZC9zPp2l22JY0WgGzlb7Vi0jZc3jmW1yo +tQ112ez3RZj5S6mEjweZUnDtboanUJDEk8ux9tWmcCnt8HEWtFiuvhWM4FVoLBly9ccD2Ye1OB8j +Nde59QKHedF0n5w+V6zUMrMi5XXQHcQzg6VYK7RJ9fRIL/TrBqrsn231WdMzuYKJ/WNnKwmoR8a7 +ra7aiDrrFr49n8wCqJF86ZZI3/Im2hNMHuuIh7v2qX+lUoLmTAwNzLGTukOb09hmFvJyR7Iz8TSE +a9RCP5wMfh1PPUqUW1+W58zmqRrdWMOtAycd/d+GXHEB/OxtzpIygFDaA06L/UYnGeJimFQIPsP5 +66deP52Lbi++DfUZgefccKpJGB9wn8sRuTpuI2QGqSTU2TZSAVK7kGs92nl7rhq/Fb/DyerZaUEv +7X6CIuPeU3SVV031vnMnc9tqSfRlVflsvPxXYd7zb7glkCp4k26e+NrItB0N2Gf2us6yZ1mKzM0J ++lRlxF9G3vB3VcL5GaLJnqIxdZQ7nSM7QaLOEoteP8SLBRrv6R3duOOv1B0XIyvf+VdcKNeXLise +E6LfNshGR3eWP9T+VP6RrlQZUgLiK8zb8J9ALxA1RH/byr4cpEjppsBpHDiWjEY6hCOw8qTw6ZyP +ik7kq1PdUIu5RLgrPCbwW9HLM9z/ARaFgtxxMRac+hdkvLOO+aq0cY5fvc1O8qlKHvBTEkLgwuQl +YHcLaqDgQPjffNuCUfDdEUCuirHRwVm91FqCfZ6GXjY8x0qcKAP/sbYCxrbS5VrcoUsTYhlQOHFN +N0US79zjIM/GwJ87HBYPUV30qQiEIwBaJ5eFjhtjmKELo5d7QEOcpNWK7SK/9kUCjVkyMBA3b3/z +b1Zs1pbzRfRBUsS9bZrYouM485lkAHFg3MFFOR1cIynV/9jSJ7+d1Yz2MMT9IhAZgcOBwao63Jgj +LW7flqY+xZuubSIwQez0mDyDKgqggElxeHSd3FlHWCvZcU3AHc04ukq6D9rgDPIWaFNVC7VOQeSO +tLkXe2OZ0TpQCCs8+GJDFODpPs/97nnKUJOSZi1z4j3VVaBTOK+fvZ05Pn1Itc2Gm81KYuTXQwxv +7yT1nGfP/Ep4u626Ty4foFzFh7nbOsFQgl4XvHku3qm+GdfhsO45EOtlDX5Im8Pccm0zukWyZ8Ps +5ar2Ojocqy7KAdaiF/a+zBMnGyI91AZV5xkmhJ9VTFMhaO57WbgC3DukBcqsji55f1LQ3/q96GUq +O94lD6J9hfkAVJNyAgJ2l/eZcjBDKrseQwgqYg5SoHb08SUTuiG1KRCb5l4izrvlI3TenLnW44/A +ylzY3m8KYQ0nC8Wy1AqEaUwotxNm8SiDU9B7Xq8As+Jn/p82H3d/k9k7t6T3j0kEiX0rCBaLAC5t +94I6mkM650tke7Xr45/sTQzfbowEDBMW5pgbzp+zK/TE4bJaXukHa61dXF+MBXyd2UiSewInqhHM +twq3HDl05CzDD95pjtqzJYfWesjEqemut2RKzBMv6+yzdXLaSaSowuhjofuswgxtKm+cFj4ztnYS +R0uBTHGvGm5DQ/iGZVrEnEXAH74YGYTheaYrKG/A6wkHKQQU1wU6UXrH6gon8CTFn8pB+IqTG7Or +Iu6YaMmgPhL5gudmxPD2swQZWLGFTLuf6E2cDp21th5V25ka05BXsWZQ6K4rbWfF3WItGqgkB14+ +BJrIRkB8g6ZtPLRbB0hdy4G2C7tURSH8b841hAoFp4gGmgVxB2zvFL/SchwQ3RKfH6AHyEiRFxsb +rMgRYhUufIZvCjWs1bBM8RWivlEtllrFIwPGJsREnaKXLAn617xZzWJUPt6V0Ujz8HA012EAsHv1 +L+/g/tZqnupd8tkdvRk9eHHeoBdAAqGALdDhnBcE2JpvVyluf7SHH7p4cDGOrHHjjqmeQWToi+99 +7mjwaNpWljv/Lhw6ZlUcFs6u4p4eieWCwIx3cTnjIJ6TBTEDaYDtVyIPCgMvo38GIn2TKw1GF5oY +RqdLRuphrUYSA8TJfsITBcUAdHCZljtAglgXVy8NAbUNS7sepcABP9cIlU1WHs7Cm/ePiCgEa0NW +MTKbfz9PxopxYEDfBlgr09i5cEMmxud9YPVawY1HGI/htnUpnikYGSU/JBzwIKC/F4CcIXccaoFH +qSbJVQJVKNYcDt76muQzZjaqASR/NwPPCG8hdJO2SkbJUAA/l7kfaKPtpo/obGGZQrd8uiwtisdK +oZjbnquGsoSQu1aCMl4tIRL7qxfI+j/PzkHIm0wtyUcXiYiEpUjZPFKWkxttky71zOO3zXmRzcM+ +su0lcGwZNkdDJoAhwvXDs4Ep+DzXAf+lDmPFNNK1fIo6wNreI7xmnryseFgNLiAohIhF5I7mdHWz +5EAjQpMHf85e1Z2S51aqwVmbz8Thr2g+bK3wTyTMrF8KNSJfBTpWdbsGd6a1yIV0HxY9vUkcm1j1 +LC9lAMT6PSoJq5lz4SbjusoixwysCiV84J38DDGbintIzTks+MV/JJ4x/NhTowPxiZ1Id5embDHQ +D9QPArpCTdKyRMz8MW9vwOt+c7faKCrNSneG4djBMZlphSRYArFnqwigOR8jsrnkSPf3fz7i0yvS +0/AttWr+rCJ3LtoTtnIw79yJfVP7g1TKaM5+ieOa0CqFCVTFNCRRe+QPXiuM2kAAH1p7RJ/2mjTl +sZ1yUMPZBZ7mj06h/DrV4s2JFr+Nfq2HPp+O6XaV4YKmqRC8fCWSgmpu2jDQ3ynTONk6DPADV/b6 +NB4mpqdjNjIjyiyN9yt9PrOzlN0EgfmII6vyKumgOMIgP85JEbpdSWzDJkrjbpqZScNw2WBiFs3O +DwPRrIBuBnkGDc8alREUb07C006c99pr8axnwV5O64UoFmv76Wc5XwuTmdKTqGgz/Zp40FxEj8ui +cZUC00L9NHFQ7bTzeB0482lzNkIMAGt3RZEoPIMOKa+81pg2XH2QqzCDAbID2LynRoHxnean49xX +ahn8Ig1MgTKcPB2rM3x31CbRT8o2ne27n6MoKJLvGnv+lFgSXJKO4yqHFzsO7tXum1aPOzosLnS+ +dWF80YJLEU2vWeAq5vZLViiDY/z7T3CCYmGkXfcJxa3p2De2wDRGDhIbGArihOPizfRgb8eoQnE1 +SYA+e/zS9MVsqVUlMIAqwSRb9LMpnCIikblkmM9L0L+hrYFjorK1VvnWRCEDYn+RR28q+5arZpSQ +a5y0OnWJjylBiA1JSJkdbAH8FXcOP1BGeK92mZSG2vpccTSz6LS0DBZ0zBF36O1KKmtfJhxpL08s +7Xiy0yY89XwD7CEK8dejo89xBLJeRjlTDmvQUKy922ZvA29LnV/O0TQlWysHkgZBETpnQcOKIGjB +HI2sAeKUL2WNZFxc/FllN18RQcUR8eccm14sU3rQtBO1q30bt1+Z2IDevCt/rsjgVlFSDJM8WKH9 +VQzWDy7XR4jx+XcF6e6ktT3mhoGPzSUHhNLJAt6QbHOYyap+hdcLM22ChXXAZjiCheOoT8n4n7V4 +TnGpKQjfIlYxQz1o+IkD7nr9IQ1aQeDvdtz+X9KvqwyMgnvPRVS2yGpPA8uPaYYRgL+ZrdIkgUab +1/1cEuY+QkZwhuc7de133oDX1ZbmWpU66ZQqZ8vbWnQK24xsnCbHWD3oY+35Cu9Y8DzJ9CbXr3VN `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; @@ -839,13 +1244,13 @@ entity bram_waveform is clka : in STD_LOGIC; ena : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); - addra : in STD_LOGIC_VECTOR ( 8 downto 0 ); + addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 31 downto 0 ); douta : out STD_LOGIC_VECTOR ( 31 downto 0 ); clkb : in STD_LOGIC; enb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); - addrb : in STD_LOGIC_VECTOR ( 9 downto 0 ); + addrb : in STD_LOGIC_VECTOR ( 11 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 15 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 15 downto 0 ) ); @@ -856,7 +1261,7 @@ entity bram_waveform is attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of bram_waveform : entity is "yes"; attribute x_core_info : string; - attribute x_core_info of bram_waveform : entity is "blk_mem_gen_v8_4_5,Vivado 2022.1.2"; + attribute x_core_info of bram_waveform : entity is "blk_mem_gen_v8_4_5,Vivado 2022.1"; end bram_waveform; architecture STRUCTURE of bram_waveform is @@ -872,17 +1277,17 @@ architecture STRUCTURE of bram_waveform is signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; - signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 ); + signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 ); + signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_ADDRA_WIDTH : integer; - attribute C_ADDRA_WIDTH of U0 : label is 9; + attribute C_ADDRA_WIDTH of U0 : label is 11; attribute C_ADDRB_WIDTH : integer; - attribute C_ADDRB_WIDTH of U0 : label is 10; + attribute C_ADDRB_WIDTH of U0 : label is 12; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of U0 : label is 1; attribute C_AXI_ID_WIDTH : integer; @@ -898,7 +1303,7 @@ architecture STRUCTURE of bram_waveform is attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of U0 : label is "0"; attribute C_COUNT_36K_BRAM : string; - attribute C_COUNT_36K_BRAM of U0 : label is "1"; + attribute C_COUNT_36K_BRAM of U0 : label is "2"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of U0 : label is "NONE"; attribute C_DEFAULT_DATA : string; @@ -926,7 +1331,7 @@ architecture STRUCTURE of bram_waveform is attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of U0 : label is 0; attribute C_EST_POWER_SUMMARY : string; - attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 3.643151 mW"; + attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 6.91608 mW"; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynquplus"; attribute C_HAS_AXI_ID : integer; @@ -976,9 +1381,9 @@ architecture STRUCTURE of bram_waveform is attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of U0 : label is 1; attribute C_READ_DEPTH_A : integer; - attribute C_READ_DEPTH_A of U0 : label is 512; + attribute C_READ_DEPTH_A of U0 : label is 2048; attribute C_READ_DEPTH_B : integer; - attribute C_READ_DEPTH_B of U0 : label is 1024; + attribute C_READ_DEPTH_B of U0 : label is 4096; attribute C_READ_LATENCY_A : integer; attribute C_READ_LATENCY_A of U0 : label is 1; attribute C_READ_LATENCY_B : integer; @@ -1016,9 +1421,9 @@ architecture STRUCTURE of bram_waveform is attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of U0 : label is 1; attribute C_WRITE_DEPTH_A : integer; - attribute C_WRITE_DEPTH_A of U0 : label is 512; + attribute C_WRITE_DEPTH_A of U0 : label is 2048; attribute C_WRITE_DEPTH_B : integer; - attribute C_WRITE_DEPTH_B of U0 : label is 1024; + attribute C_WRITE_DEPTH_B of U0 : label is 4096; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST"; attribute C_WRITE_MODE_B : string; @@ -1051,8 +1456,8 @@ architecture STRUCTURE of bram_waveform is begin U0: entity work.bram_waveform_blk_mem_gen_v8_4_5 port map ( - addra(8 downto 0) => addra(8 downto 0), - addrb(9 downto 0) => addrb(9 downto 0), + addra(10 downto 0) => addra(10 downto 0), + addrb(11 downto 0) => addrb(11 downto 0), clka => clka, clkb => clkb, dbiterr => NLW_U0_dbiterr_UNCONNECTED, @@ -1066,7 +1471,7 @@ U0: entity work.bram_waveform_blk_mem_gen_v8_4_5 enb => enb, injectdbiterr => '0', injectsbiterr => '0', - rdaddrecc(9 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(9 downto 0), + rdaddrecc(11 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(11 downto 0), regcea => '0', regceb => '0', rsta => '0', @@ -1096,7 +1501,7 @@ U0: entity work.bram_waveform_blk_mem_gen_v8_4_5 s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED, s_axi_injectdbiterr => '0', s_axi_injectsbiterr => '0', - s_axi_rdaddrecc(9 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(9 downto 0), + s_axi_rdaddrecc(11 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(11 downto 0), s_axi_rdata(15 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(15 downto 0), s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, diff --git a/src/hdl/ip_gen/fifo_data_to_stream_sim_netlist.vhdl b/src/hdl/ip_gen/fifo_data_to_stream_sim_netlist.vhdl new file mode 100644 index 0000000..d7cd394 --- /dev/null +++ b/src/hdl/ip_gen/fifo_data_to_stream_sim_netlist.vhdl @@ -0,0 +1,2207 @@ +-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2022.1 (win64) Build 3526262 Mon Apr 18 15:48:16 MDT 2022 +-- Date : Wed Dec 20 13:40:21 2023 +-- Host : SURFACE-EY20NA6 running 64-bit major release (build 9200) +-- Command : write_vhdl -force -mode funcsim +-- c:/Users/yuhc2/Documents/GitHub/NANOQ_Laser_EYHC/prj/zcu_pulse_channel.gen/sources_1/ip/fifo_data_to_stream/fifo_data_to_stream_sim_netlist.vhdl +-- Design : fifo_data_to_stream +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xczu9eg-ffvb1156-2-e +-- -------------------------------------------------------------------------------- +`protect begin_protected +`protect version = 1 +`protect encrypt_agent = "XILINX" +`protect encrypt_agent_info = "Xilinx Encryption Tool 2022.1" +`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-2", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=128) +`protect key_block +h4/8v0FBgXUomE5kJVs58UlO/ao4SLHpniPXt+fomPPYB6tv3U0iBfOL5737ZNNEhgP1kkKeMvq+ +VxOLW94g7JZT6mWc5ZuQ7jgK8Qpa6+1xpVVQBB6gVSEeHij7ZHqPdYaLC9rL/SR7notnBC1OujFi +++mTu5z/HJZtnN4VJQw= + +`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +Su6POoQw092/hg4JN8GOCSrLUa435VAUaqUned4C4G61yBHlUmaG63UO+KxY5pgyMrDH6/XH2bPa +fona2wB0Y0sw6W61PXOfiew7cH42baMY0P9UBRjH25EZTf72W3O8r7DNj16ob9pPi7bkuCd3aab3 +hdfeY613n+hUbAXTLQqbhjqGmO9kFeC/VmdSITa02RauMnpfVxz1wLu9iUQ0V+mPTp6hvfNXlD0F +7oONLZJg+c6/+uSw1WbEiltO2Lplqvbb0sYbZjtTSEQZSdF4DiUdA0SGK+L75aDYGx3Z/ajCRpBx +Mr39wb5wiDr6SJ/QQ/JmYc+HrTs/fbN9BJ/Grg== + +`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=128) +`protect key_block +JbOromwhdJgnOFMOfO8mpnyFC1anQPoDL/XeHYQuoY4+0yjNmPGasGLGjanpoUgfOYngBHPrFFFH +rapGBPsHEbT6JXWHeRJexf2moVhmq1sHJ7n+Jx1rVNuyclUCC08Fg3sy6FdUQmptKSpqOw1x0DV8 +R9ZlmwLTkoN8IV6D7sg= + +`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +XbCcyKbk3pmZ92QhZ1iCj+9jpzUJAn91N3YYwVHN3gwcgTU0NRr0oD7EmkLoZ8hVAhh/9YMUp7DE +059wcAzCBsD2W3CWY+GHUSJS57Xt2yi9tZH7binajEyHpCqaFKKO9WxDTO9XnYLVswRvAii0DOJL +mY+z3Z0uDx55BVWqbbvDkA5gABsZLueFt15rXRJPRnAjzWXhYzjiqC1WQDy5UHl/LBDlsOMuouyd +gM4k7zzEZUOy4o1sI2isD+6T/wd+iOsXvq39rguDUtkw3SR4GJmk+rBu3rBh+EvBHKxaWqQjGGNV +qWyrqd89LjZFGnXZ2jvsgxldJWCellgTK1ZEfA== + +`protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +dG5h8R2Fe36rfzcvmeDU4OapeKO/Lhe0DkL+4c9AG4It+1yVmtHeEWL8eVWMvHdPTwqJqgkMQbh4 +OO9/9XZMyYCWFJTHu4ossKo7zKccfTeBbKfgP+rDEckDTGIWXihj2YJ2N0p6q9Ynpsz9qOLdoXTY +gZXwoOe4MrZBJWZrDOqkD1hQ+cRUV9c8S6FlH+AyBNj5dlaAM0Jyq6a8TvcRmLoZfdi1zFWXeTUW +/XfWQRP+vnqqV8VPdyfaJJzaKnG1u9PnvSFauc3SzydGZfICacU2pPxqAaJWzDYwSns+vd4vCu7u +e01UXo4XXeFCvO/9mye0QnyrDHhuE0b1Svw/jQ== + +`protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_07", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +K8hvyEyHvgdg02DFF2GnEdLUq6j/uKT5fsI+Nkpbw14CRrq5p+STF83Or85VDleAax2TYln4LhGn +6G6INbZ4BdMuA4nVtyx5xaogScfMwbjrTAn0bqxT20M++g4cn4gW2g3oEFMnXaYCsLaJ58t4/T42 +ocO8oqJeCowKICP/eM+B+/jSusNp4JILdp522MKky1zANadPwlv8a7QrMrJQrnb/lF8qC10yXqfM +LbKfbAEBaHlel46y7YBqdIimfeAVng194wkXobD6WuMhQOpFkigBOLQzoKQWN1TWeY5/rSQt9pcT +xLm+NEQmtlL61OudMCIqm++dCQSgE4NFJj1fCw== + +`protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +gSLVZdmdCqRy/3LoTp5M48T1hUUfGQp8cxVz4NQ+P65mrZ0oJJXHSaNbzdvtYH41+27aGh3RBbLb +pzz+TmeVuEVneG5nGe1VY2ogM1D7tBMRUvNgXK2PkSRLnk9tYgnxoYi0cYLBxa3piqBh44cdYXif +bT0Uh2vFogmdeH5hxVNFk8FEhULNtR/T9r9ilPNDQALb08fQM461sjlhS2jgRgH0X8LZqnBOii+F +7+GguDMENTlzU0XSYWEcGFH9V5PdYMehb0WgZeiqTchxRuQFmLjDhI4J5dkci8RmkLCwz4KyjfOi +S8Nkg20qh9otuAisfQTh4Qx2lC7x7BHgmuwy0w== + +`protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=384) +`protect key_block +kXlkvzJI7Tq1glqNfjqmCb8YU69bhN9hH5OsWvFNj7VseyX6/5l9Mgif4B1r1LeKz06I27dmB9g7 +AuHBFZ0bPN86mURBL/HK/dTOGyLYAveWeOIK1kqX56i4H9UNIUObEphcz9wdT0OgXHTPMxiIpJhT +1o5oYJW49mDsAv5yxe4FvPo6rFgZAiEo34vJGDxzz4//zJq0z+GxJNCibpLydZBWaJWRfsDUs9pm +1O6hS3KPIL5Evg1JOFt1uwKb1xEA08ETT+qYwg6zmFfwQbs6O7modRmBtEd1n9mrqsgCAviiLPtN +LUFiLdrywPt7LArLCRz4h5uHJxz/21Pj5m1VZtZq9nFmsbp6Lw/0RF1+nN8o+RIu+/tmu74xkL/8 +nNEc9mEFy912OKP6WDP4Ajzg4gl9xhtaYA5eGkNB/43YjgGsmTe+L0dyxHIwa734JNMb5zC5dRtR +V4pCnWZKmnDJDXvMftedQzqQvdFwJg5hLxrHfkPD8LqiOwVck/Nt6QSF + +`protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +ADtaDIjUIR6zZBfz+lPRaDMdXcoufPACX4aSe06/DoTgIDvM+UOlm8rH20gKO3r8YdsuLtUh7rhz +ekJB22nBPUdbl3FvlGdQIgiCyJ8XgZYvvuOo9I765yKjFxQsFmQE0Ih86fqCqvYmRnsZkpk1uQ7v +JpqhWGBX6tLgYu/txP+ShnzFfkWGhj29JhYII0zqJMBCjGeM89F+mlH+X/YL5Q/fZYyh9Cr2CJx6 +ofJpBZ1SPlXwgafXVi0QAUVuQEBmZYVn9Kze++tMEr6qv62ANq23LevYQfCsYKoY5iyf5U7jJ5Qx +eC9nG5Es4y6lz5giep7veaXdBFBHd7VuD56v4w== + +`protect key_keyowner="Synplicity", key_keyname="SYNP15_1", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +zFwVPvNmX5sBruiGDSfENTp6EBfydwYKhxWi0YDKQ4j0gu6AMV8yJP6GXeJs/A9Zgb1UFE+sJifk +OngE9N2vVRp43pAVauHQf1hUkSWPDJuZ9yEQZbR7F3mmiBKu/Aehj7KcAjv07FWv46HzxRL9E2xx +gpDOzAyNSNubxORv7bVYUV0C4Fr+tZRA6douG4rxi56npPfzIAZjyU4wPvwabxrJ9L4ZRuZXciLk +lJGTIJZTH2uclPmuo57jlIXGo1ZtQZgRCDfn7W02AQ7MDKblx47m+E+sUKKYHZlvf30GkPcwlucZ +ZcUcGnYaRCZnrhwFl0qxxXn2pO15vG4MJXOHMw== + +`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa" +`protect encoding = (enctype="BASE64", line_length=76, bytes=256) +`protect key_block +Lq86c/0SMuvdLuij6dbfI/ah4/50WGATVNRwXobLfbnZqWOhhEk3VDQATTxe7ZLrUauwrLuMoKhS +j4kqT2raqDijA51Tz7ee+F/MUKvyxGDJqfBi5JJX9y81LCXav7HpdRiPTy6w5O3tQoQbugh61D0B +oJBwNvL22Oi10e+Bu7H1yQvsbksxPAA8VE8HK+OJzZETk0PfHS2ySL5WXLQf7duD6CWmpWdLMrZQ +ojOqvNL31LsO1gZhssTk4RgyZUrZ3CboBbLWDxq2L/SsF5YiRIUPDTe17rRcrxa1y6LzMD/ve/nR +mptJOGxlUgLpJaPAA7jH3b+EQGlrHzHOsG8fFQ== + +`protect data_method = "AES128-CBC" +`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 73440) +`protect data_block +qROPrJcGdZAa3usyrUrR8vLpqr5XCCflQIFi2fTZV+DLPuX3368FQkXyT5fdKjO1BSaPpIC3Sn8A +vn86GTSE0BZKG6QDKLaIA9arZ8W+TRcv5/LlqAiho0t8wq/IUN9aMEVx7/WY/McWJcQI4hV8MTRI +39/WxI+UnTWZ+xtTeKGUOy923S4gjokv4Jz7qs38k91Oz9eo8TW8ascaugZnw6mRJ5yPMgm/4GmY +akv+0UHk+uTqPEbFjM3lesWIR7BbJEjiYADLa6HSzmo4XsWqxj+HwIOc+F0P/g9UNuggf8+yVefO +ukYDD2BzDT88gxD4jJD5iiHYMjTiznmNtrhdOlg3MCOGq07jiu+b2MWNUN0tHTq6W1O6KDsmfhnR +vTpmdbRRf/WnwEptGcrPhznWdLrxKxsmviDoQBOJMkbewBnc5BZJWJQVDv5u15M0idyc7hYn3ZYv +i727nfX6PLmYtYWYjcYUIqv4rKzC26zB2eQqlmR6SaYNRlVVjm5/J0eaNkB4ZwMhtSIflyFrm7Fw +8K6bMQAsN1oeAdiycXwgL7SA2z5Bw1VlfcvS2B6JAqoWMUzKGUdO7FFexeu+NaLTYoI7FiCKOe7Q +IHHL1MQTr3Iiatdr664dOtAdo5m90ifwqWD64takvArBTGJLwOZFDgmen4OmJDkyONXCsC63JMMK +WXBCz+3N8W59w7SkgmW4upl89ApJ9HQeKubQusg2N5D9XZQj0vsps0sSqIk/EazFPbwemIvEyZpH +a+Y60q0WucoCvrOmBuvN3jd0iyV9rh4jXD+Kso/RE0QSjO5YwcqJEoxWYB1vk73UA/p/oT9xfyQ4 +xEJxD4v2yrRLr47Mjl3SvCeddXhHZai+UXX5+GZLTU6QRb5JmXLe7uQ+vpx1ACKUmyHV14zGjIsA +Uf8LqEP5xD8r4oummj3VveqDtq5XGFwGbm5KC437ZuvZVHDuGiZo+N9kE+HgEh107RdQcVC4JmF4 +Vx0yxYfkDw+58HI3QJd7COWpJwpt9fPjlAokKDqZPJGLC8yRoxOI2SwpZJXY94lPm9TopYqA+9iq +oYCR7JZznbr2R6CCe/0MZfhmA5VB8Khn0k5Ng8rDhr1zyR+WHAG2p0GW3/KFqg3SoEH/NL9jzW3I +dX6cGW0avf5Sur4XQPgTuqX/8jBCrtXNTQavrDxSdoH8UZVZwiYTkBJlGnjTtrW9yFSRGd/BWPjx +o7TbQndklN7lBrg8oA2Gbsou5fH7S9cIYfk96Nycjm9iiS8ie/jMEwbszUcdhwetco2I+p0Wm8/9 +DCO003V+jCw14UpGY//aTg+cq+smY4SbUZp0KLMDChmLvB0YYOxcFOZhlmkHPxLOVMQwZ0ycpmgW +xUJueWQwNF7aAilzhn2JIClluR70XI8r/eMRSJJ66l3YJ/YZCXtzqDXiRo/6zil3zrymW9/UvecJ +ALIzEAccdoCrizl1nOwZ5KCK+9lGPXNrnUVzR0qg0mUH4mkTuyCVD5FD05Ek+Ry2K2QGjJIfCHUp +HrA2cuBiqoQn0LgIMYlNaOpXfF1mEIAw+uvNjMRWIP5HX5L7xdXfhVqcfblkqi5ffZrOBTfEwC5z +XM9MmQznaoOnFpf7OZTuhpLqKT+E23KJ4d8ImT/zayemImIP+ody4iCgsplLiC6aGduQfBGu3Xwy +6TtMOWbruqyNs7EKToPQTKAJPjp+4k11VwkG6DpfkjbvLvYmH9QK3gHNtoHO6hFfY96860cUjvP6 +zUiTj7WdkBU7nfYTcVW+9Q+o39dUuWk4NhHLqZsUjsPgSGCjNljOO/LVOxBHrPh4d9+9qBb2b6lV +1PLJQsuJfIg/2V7H1sNnbOFz1pDQYVgQl6tJOM8i4WCaAYdngtmRxTUBPw4beREzrbi7cVuIgJ02 +SWKM3l1tenN5ciMAYauGbd2/zmoZaa1aJlNj6e+SQi32A6p/8jqcmQQ4CiYCFxrBRSaa4olAgxuN +1fL+0maWnLRviofaA3WsqL+Ypx6Ea25h/HJhPAOnJp6td0dGi7T1QyJv8Wo2Z/fx96KX2CNw2Lwy +2uTOPn28RQ1vPemSMftJgSAlU3+jE38Jp7wNUp3b+pHXZq7VKO3jLCgoBTLnh7TfV1diJN7Ckcx2 +gvxoOkOLKIXWDMLCZSMHrnOObPN8kLtM7d52kYTryW+Rb7xs2f/IIy67WerC+d0jWTyyPdQ36Vwi +dfaaICfM25omCOBJg/g23xkuEgPtYZJJrV+QKXYopcKaLjfjQb1N1QXQwJmiRx5TKM2T3+gveQP7 +54kQU8qSklP4YTdR8GyDsGgoq3q02yGA4BNvtEpxkQpyjSTHesFbRHHyZOQeVHmrHlLt6gOBQ246 +ou2RmG5IeAgDSmLoRztDMxaYWDf7yNoWmsk7I/h4No3zs4O8dPaQpG/DXoIysosCTIPW9QJG4GIJ +cS2PeCANpQkEACKVbDoHcwnaMKJ07UmXwyPmhg4WW+iwPf4bTaQZ1aCruuJNMyPSMZKrvq1NdU/S +ZLQ7R0aQ3Uf1irCZDGh4OJMnSbfOGoX9DgKxsk6VC8iRm9VIEwN/9KrmWv3jOhR5ludITmK/wvLL +Qz04LVC5RvDPTeqeWrWPBnnuCZeKKSGg8OubufZoG8pQu4AzWt6hx/jMVk0e8QDcMl7o8ZwcSqkg +2Gjduba8L5ucWP1nBfB/5e+lqA31Y11xqvqoiNn3F+Zl0X4+hTPKPyVCuWYQ3JOQrR4OoMy5EQ4/ +I5fQFbjnRKdpH0/MMTyhal9eo6C2wRBB0d3cs935a8ASDv3vcqL3x0TkIJq5DWzi7vHYinqCT6aQ +zDtJ1XYwBDcixaE77L/mbNznzPVh9BFE01M3d2BBpF3nY3m++1kdnCeV0cckoGhpllw2ZG5ungWQ +g50Vu1dZBVsB4+ZhvydEWVS4hZHTnibrVpht8nGE2RvwqHUJV2widst1Z6HWfGdIoBgOduiVokre +XNvObOQQCWlurnuLXtZAg57RX72fprY9L6LT5qo5Q3iDGHu1B9rb2+Jbc+vl5/MMv5kF7OGIe8Rn +3ot7lPgwvdDT0f0uVR8CyHhJg5wLboY7mJ5MZyl6Z+sTArAUFwHO0d155NUUVyQQR5Wr9Xs2AW0z +w2Kha6jQJWAqT5OI1ruvjvfXqJwBuLewpvRn/RekOBbI2C+d9fsr7B/sGsWJlanb8mhu72w1BuBz +Gek5C4EHThNDw5l57nUlgjYttVOp+hti3NFbb2T4iFd+nrjYH42/ePWk6q5EO+J+VG/OPkR95H+g +ULcbRBeyRhXl/BTa23wE9kMUTfODA/QvtG08K92wchrnydVht3noJqE+y3w9bge/PlRKooW2hmHF +FK0uCaaeSYz3na70lJpfu8yxS3Nkp66IKEW7NE5zfArwiCv/hoo3dn5Rbkt3ITZ1c6yc7VjwAurJ +slj6Bt4KyEnt0UwFQqjjQHwavJsXqnOFGbvbm1sOpI3eQU8ytFPadyCsmqaeJjflTh/D/aBKPZS9 +YcYlt0h8QNHDDxMq0ctiFUcX7WUVU6VuPX/MXBkQdOD74xAzV1p+omH5C7R27560VBFYY8VjZJCJ +WaxdvrFrBxaif6Jp+fhrv0Ukz54k9/5QaYkqjAc1sPmObN8eRsbk6WqBitoToihjK7nAoOR58Feq +Db3m8fRDRfRX3S/pLk5bu6Q1E2ZEew5v1R3VAALiEtfarY7JRan625lC7nHHqq4fEYxbbZDqsV7F +FvjKXgGfXOUXBJKuTweMw/13ewWOhFLXGJ5HNmsqddNoBm2Bnbbt3xlHgoUfbx/5HZtqDZ4KbAV4 +xT+NjeUIwudleOpWVOWKKnfrScBrBsB17z1HkdI0m5hq0uRaHtDYRaI8VjP4pcDMaqz5/G7leT8c +Fsfd9FbFh/VOeznIMrMedVkUXb7Tlc3jxFN4CGRxxBMdXT1l3u6/ub0McLwAwfHF2G18C41nLwuI +KJ1wQsbbqkzkn6ZnqIe/o0uYgN/canNOWR17XOac++k21nQp049+irIHUaljMRZ8Cgmn3KWlnaIA +U5NHw9ChRwca/H7F5euzmm/HLvhVY0WKPbyr5x6qEtb+xz7oSUJsVxHzP3eyP7JE7FjebyRbWGdq +Xmiv0eUTxrCp7DqSKqd2wIouBl5pb49AkhoIzcw+ikuNQ38D9DXPsHV9HDAQtfsxu+7xNWgpXFHk +voqVC93pxtto2Rn2lVVy/y8FaO4LuDHufNYIZDTT2GAOjfYly2iYEv4RxF2aV98LaAMjtZU4Cltw +Pr5xwlsZIYqhXUyj3ITwI56IE2eel6ObefkWnqP2IUc/6ckTy9kfAfhKWHC4Qk53nhvq/OwkTsUk +Kxfwp5m7SQLg+J32IU9zbeQ+IqpygdRbs54saHmD7ZYAzufHnuEIUcXnxX9aqWb8kt4Qic9J8M7c +0jMMI54sd4ZD005PBM+9lSDQVlcn0BzdXWsu7NfGE/+Gd7ZHWP8u2yaa24BUg/WZQPmFJCMcnaJv +Td/DoEkSTMBX0TJu0DYNqvp6a2ffs7ImEvToq87dKH1ji+ViCJt7GOJXwhDVM+H9Wmq0/MSicaM9 +q88/cisNsf4EPwaG/Rjm7KLV6UOuFdZUvr6LCeFacb6UtdGbRvJqWOjRd80GgNi9TiAcIf6ExZGx +iFYwfPcpF9JH+1eMtGrflPRF2+5nLmEDhGhS4HXYRG7lbROAEFbh3CGb4L+NhqOrTC4s9igsVoK7 +o5YrEReagcHTQDdJVitOEDQJbipaJ4DgEyNzjsyD28zQSbnBKWlb7XXWxxjjde18mam6Q2nMaAgV +CbDqePsQLcc/KhKedmPqKQAQ0VzPFC0jOwxScVeTEXioR+HOQdrDWOceEzF18Laf9PcXFH0f+HVP +uKkTm/8ZaZc4h9lG1j7Pwl9e2N3XyWY9NfDGLJuCCh5EmxnnL/crevmcwESPVSsdLXq4iuD+NwCA ++xDwIgVCPi3k/EPwJZUMUFbHSxFzYA4tLV0YZLPOgCHQNX8zfijvQ58CxogBa+WNBI+sYRCevVvY +U3C7mXqOV6wAqtlJnpSvBYIixQB62FdA12gK7Rvl65DBpYTlTCVQOx75Hyu1Pk4/6AfKOkj5FKXz +hT/Aj4XkM+5dr2knKE8qKKU5As9Efqy2ryqcH8tSPBXf+1noNdIszOJHO08A5+5vzDFbjsbB6ocY +EiGAcht65RXEdONzwBG4atB1jZjlDPXpWYuXHrhg0eD5WYMD98ue8aXbTdDCpJ4UG4pz2wBOPs/5 +Vx+cRFeRn0Y9s32WnN7kIbRMJzEilG9OYv9eTjtS1+H3Gj1GRLXA4juWU5JISQn1PY+i6ngKTlaW +eJQF65LNxRP44Ue3sxpWczy8kBbC1Rh+ybT8jppDVvSB8N/e5pH1BdmMkHOn4TJXMQ2ic12cMJtU +KErnt+zhjvru4GEa2ulCCvxwpTzRgzFCTMA37u0cXQ0l8KM2jaQMN7Z+ogGj1HD8ke/B0d4388AQ +nNqLeBj2+rMUHE2XRBqVh1/q0TnPVjxAKNNJbF1GdTpu1ElFPpgQ06MECPBKZledQHNxt4MtMr7B +PMROs0xdm/Sx4zDbo2UZpy72haHymRvXJPCNPsFozRoKNt9SgpiESDwIfE7KDgahCLy6fajEd63k +RAU/9LuIGWCy+K3RYLjaZdxPsD2y6Q/mLh34myz3kBoftEENXRAekZEh6keCEyU7WI8dg46bbl+1 +JB4cCPEarUhIkI9l4BfghuEVkDS7qTsXng/CPIvbuzIcU6udhO0LvmByNRyhE5UXCkmqb6utqZ84 +BeMZDy/xS1/BFpcQ4eWGSMPty1MYM5BAHeq31qoRGSB62RJXyWSBpkWlvO2FOoNck8hHG5Ov1Jwt +mKP56EYP458aUHDeG/E3rmqHwCeVoZ9pqeEEnBkbFUg3j7ZOFHTTpF4+l3l3bqQgolimUsYIpS/5 +/K5oTNVDksed3ciygQ8777nNhVbJrWzS6+9nzL8Zd2WnCxf4iSDGduRtbPN73wyMqq4HW6HW3Dhy +EilC+ldd7FwVs5hFVUkfKk6c/SoyL7tAXwgB3p+xuG2ibCX8YU/RVUDoDwMYmdZl5SngONtWi4XY +RlX/AeKPJ3vpuCQ6sEFUdKj26h4ihCProUqgN1IniZ6C8j0CteLt9/oUDpU7Afn9NtBQyPSEDFD6 +3TuN6Qd7Pv+nrlCvvB1AEKMKGIA4SgZwTHDpzHz018Scy8vH4514hExDDuVA7NFRsKQ1Zg/adcJy +P0fQBJ5wMTE6Ug0IigY4kRU/nTlN/DLf6p2E7ARtYTDY9AJn/jRRsbZQFbnmx4FZdkBIl3A21+qL +raeNRABLxSoHxLTbhGdgrSJ4dKItn1zRi0b5zHpSrluzHxq/nmNtz3GCcumDg2lHw/A+M9taNErV +a2qtpoRymgeKMRs6eeuI4Tty29X3jAmrnyimiX36z+oy6QqvA7L1XFoc/FXGfPlJthd9kqS+BACY +HLr4vbcXefTwM6WPdOxeq4OrJG0MmRZ6T8CVLTy07lj4ztnWZhGMHCcBCaIdh0vV228FTflOi1M7 +pfQAJr4yzgBGkSM4sCYIoH3cSqF7birT8Xg5Bf2kPYTQiwAySNmuLGzqFZF5kckLeV9DDNex4Thw +5r8H71HfZW3j3e+CE4KYXl13zC+EiPawva1XLcyrkDk9roQ/CtgnRs8aV2e1vTFr3MTC4u1fGMv2 +tov8V+dteJlzyd+4j0SuWoOTOmJzUYk4ltQvXPactlPu82zDocGSzdc73gtOp5uSfd6dIcFVdRKu +bi4hhACAPlDvKDJEAluEwjoZH49R/wJ1FfVnj/+gVGGIrgrMXYe1oTYvQIG8aKkrCuEaPAc4xP7l +nG933J9zL3x12kf8KE1KmHfKosQaNM5jhMii71p4X7wlZSrnibTTzCuSjvFnOKUdV4dSdRbWEKzp +eytxHJm7osw5Yjg1RJRuQRFp6/wNJrVRFHSUCghaM2iv77x8npD1nYVgClzRL97pdcLqhgJ/hfcc +foOrn4nf09l+K45Ri46KxGfJ2H0vC0l6xZ19Pb78ouOcQokX+2hB7I06gv9Ht/D9F2r4ZHomrf6i +3VYCnpsvIvZIsh2bda3ZRMu4T9T2YaQ/n+hvRfvORl1gVqFEHUBg/E5mipLi7Dku8MLnZcx3yS8u +PBXS8SXg/K0umjXxz3pjpiungF5WCuCnOQwkusgpv/vEJmEg9XvJWEeHf4KC4WydcmVxkq+/fnFf +TwmOfNEJ//yJKgGU4uqL041LdYXrR3Wb0/tH/dkH9OhpZcK4jgIFlyopOo8RDolSC6+/JCpdjBPX +8FyusA+fjQhuRFr8HyNrb2EYDOmTgN6hnSM1wDKJBP5ekAgG0aeZLavFjq2iMvPiGmu1/jokRFwi +hF7pXTlXmGmrGXYOoJdO23ynou+3FOvxX2Yc/7Yx2eiRhoX6nwv+dNalEnII4CLajDtkekOThYbs +sLpdPLelg3WDhV0HGuNpYzEXKwaPV4TdVRn3Mlkh1LSTwuoUHl4Q4IgIlRcnTU5z9kFLdu2vRdng +vV5rqG9FZOWAMGgJEBp/B+JL9Trkt7EGzXsXUFGuZA4RtG8JTOTBZZPDFKSIhUj5RsunFhdP5iIL +84jFN6D7nH1uN2VXQJ9NtgqvXtp4kVGA/vbHg2TYfIwCZRd9/hoAqeZGjU2dxd53zsz0pmKaYmdB +s7EcyJdMVI7R9KKZYXVOGCX/J4B58vILtildXsgQytthe8+SFrwbZApH5+ZZOQ8swxqvMaCtBy9p +gIGT8T4eIOIZ7jQIM/2awySCKcKd814g8p4+J48Ygj46B4c+2kkBQJXqAMurVsGAm7D7aej1dYRO +7u80q5y+//4kUt1Zf9zjt/c+EM9dZR4EzC0FvSGkQxL/OJsMhv5gQ9aBXR9DQNaTSs9vBbQdrzLz +2LBGIOBUF9ugDKn0BHB0kCd5WwLeDPIvJ5SrPggn5wKaD9s9oh1/6+qa/uxFtu29L9gcfKCnQij7 +xNmBUpsO/aVoCt2CDXRFtUiJjm8k0Mu/aYGY5IA05eDVNyD9BOGo/WuPpczuu7+ZbQDWJar7+82H +MKpfo0DvpKLADCqOLdRzAUMzbrv85gDR7/IKziTQ/7daojIJ7/5ghZ6hz+/3FLKuUHGEmod9jirE +WXVgB+HjXRli6qTEN90E45oT0O4hhHKYhL4T+agriSVxwrBwh1vPfpSeb5BG6hWSiDuhQ+SBacYI +Q5Ts6s+zoFy82qxta+WGgq5Y54leaKiZmOYMLdFthRQCGJ3Yu43AzpTLV1pZOq5NENTbnYaGU6MH +yTE3OEAKXsxNIxi4Ew5pNI9LMGHlVQYFJPihCHxpZTfhL7BjfNkdUhP5FrkY5OZ5xY+L0p/CenN0 +Sy4BqCVL/lXG5x4QA5JZWOYv3hZArwu3eLaBPkP8LfCRZh/u64VvGxMkHWzkHBP+rme8/j8tlZry +jrxK5L2x95/z1qgiH7K9uUpvZvXr3Pv8fJXMCHClLtLhRrVzSHsLZFnV8xkbOKFzsUq0heAZgcZq +HHyhN1BFiCTwDjvfak3B1W/f4nyduFoNg2yo03FP/8OcagNhZ4xdnAYbsm2f/wh0ezuPQwuZjsNA +6hcOOqA6yQp4zoQyf//OOpMBXhnuI/Q5xnOtF403Mb3zAlk1EaJo6hs67P1eHMEdIspfE+Gvo5Ul +/NbMNOkvtofWQ0FhL5AE4nm+yZIHxkWWs0ASthkGst8Dmaw74SZ69YNHWwUW8Scq/ZUwpcqex01H +0r1pBnYRE2gVXyRrmYMOQAwEgvcw2dNYM6yiyqvwlkUf2TMc9zP01CFZgflhCxiTnyscIEoBfLaI +cybuzazQIkF7aUi14+HKtMi3yDaZVn/uI8IkfBUqqWJ2IpYVr+OyeS+Qd6+b6Tm63QcBfZkQDB3f +n0Dx5X00MPPh3UsgsV/GtTZYsab9AKNZ8srKdeFNwyje1RwpNjXZ+vauEi3TU2bvwQy+XqOjGRUe +80W/8k/GMeBUXU2o/PaBvmXzh+3bFMhSRs3mSo5NEPDbKqoMQ+gL37djsy5tbUE7l7K9QRhX94Q9 +pqzlsdsmb9FFrkLOb/uk5k/f3v/G6ulthtyxGkHsdBY3trObu+Dp+NY7VJd5Jb21ovCMwY2jUM5j +hrna/m3HV82f4YBpuzPihlBnfhw9PkcGTcozxvSaY2wBQIC6iLCnzBfgqcvCmAEL/nsr3UCp+zbp +sny4B/n8pmKrYNG5LyzLKV8ICv0nZfDLAKiJvW6jUbw6ZwYUkeh4RK4yFtTqOtJYEX4LUuDQavIY +LxurLpDVaBr7BYTJr0jQwKCAZT/hj5su2SNKBCOgsXUheIAs75TO4s6qt8ldznBpXVFuajiS6Tpn +XDrrvSTZogdRgiCpyMeT1GLKlZ0sazkd+ez2HdFg31nJCiLukQ8iVjdXuiNlByUNwqinf9DLTJBW +oCdnZ7JfCOMoLTfxur08e6KmpNjMtg/pUsMqfVyQOrdyMo/gKzxiqFKIbmKMYQaDFmhKj1d9bl84 +0nYe1etA+T4eDF9I3jn35NkCgFK/R5fdQS+6NvtM8plAM2rsDMugwPEzlLg/qOgseIwzPyH9Guvd +oolvdQMFI5qtz3MeWX9Ovnl2JHEpXCcgMI6hy8XUpd7RGlvIiLs/XcybeSURkWkfa97vFiA7O+D8 +EPSO8JNmU+TQc8FjqfV4hG5Swa3FLptdHkOQl/tytsBsVw98ExNlXRRokU20t1tQRy6Q7nq4hHh7 +j3oLAdjc0Lphd08Na9GnBkSrY0IccXQlIYykpOYucmneEnEvkp01KbEUozfYkx/O5oKH0pVJ+xyp +ACPrJvm1vxlcZ0bZ1zDA+TRJ0nLtsdUDUZ1WMh7ueWYadtiy0om6Z67oF/436jh3RuXzwZX1LdRa +Kf2QbFU3mlJzDBuaLR993NSI2VPTLJh+pzUSvBk69ad7ELJGZnipHOpTol+54Oz61R3csQ9IqNti +las0vxHCryo3zqgOFA40RlXFqmVXI2RVWbbvkyEW4QJEzu6wMNSNDfmVuc1rfDqF46DPDgCUkkCt +6sxO+Ef7jg5tE96xDK2FeSOmbvmk+op/YoFQqcn8AwaoRpcxx5qE5HubFr+JlYby1REhtHJZ3Sq2 +q8BRr50qIFhsTxjxfB9jcLs710/zVSXadmgs1JsquDHCBE/XuCgIBrFCgPDnFbjCmIB+QL1L7RNq +jhnwHmLKqbyiPVSHfOr2kZYqFN0nSiSvfBUTaFR0+tQImKZHiTB5l7CYLq/ltl930BRDybYLFuf3 +eaJ9ozdv+dtReJiNieX+zmLW9zA5KFs1Eb5j7BVKud6xBBfzaPqIzJkZwKN7Z34nYbIA9kkPgGDu +ooGwHcbTv3iWJOSz0pT8I+QIshh2a9V9fv9MPzCbC9sOyrh1R/7GcSeZC439QoUIt9WTMCNyrfzX +2kd0QrWarlB0kpvumG94bygHJzQK1DoNMQmmRrBG4qAMzvqPSfYSVaUoTqeunQQ7wsWcypsKygmO +OFk+6Sqa5/waUg5E6B+tjkWZDXlj54LWjkJott8+Smov7gLEHSmZNgkkxXDN8NG9MGZewEWr3wSe +bok/HgdJLHdXm8WJAOhQBSqiYzhTApy+su9aVjK/WcDWYJnwadmyqAYZW8V6qWG+EoNNwRs8TqRt +YQjrxvY4sLDsQLkjDmvy47vUWfbhN7SFgaeuxGTFrWit2Ae1Z2dCop04CAM6rwdgNEuY6q4w6BL0 +V52/vwizjZP1JSIgOkR2u7k1hoXnaPrsQHvOIc8K96WneeuFy9YnT+0WtdjMOOxNyaPpTRlj2tyR ++5tXPfyaYwbCLYel4WQ66rsu5lMNKY4OZ5jKTagvnu++RcbGpuBQ0QGSDHmAfp6xKWBqVbUztrPj +f4fA/fMkU753tWtdKEN96hpwJsQKXl5lewTxzV0V42k7hp31m8bI75aOmwYNDaerJy+Mr0HJhCxT +8I2YEeRzvBk6vg3UbhEqjm0wIEogVExGMDBzCm56bLJfBUOopMcScN9bV0pFhdkyY2H6pkYOGkAa +j37HJkxVVyDgjcu82M2B7L++2UhB52PdpeJYJxIbJWRtwABleAG2dXOopBFGos7+DIJg8V8QJYa2 +iSdfjK8KJXzLp3BFpY3OVQ46N+qMk8iaFRDZAnolQpd+YjmeeSXuRElhNulOrleQ7joqKrgA5lsr +j2vUXK3GWH5HATx6nnDDGNAIYoTeLABcbUVxwDak4P9mOEMQ2neYRtgOD/a+EmfQ4f9D5Q4LE6lR +oWNRQFb1ys4lKogyDsvwZKmI91fckBzFnHZXZkQ+unSKw6PtpQMtC0JD41Q8i5gv8uYDKc6Wxugm +qTN+ozSCSOlQDwQqwopHtJotQF8xSXmWHKyg9jdcj4u+90CScNEHjhw4Xk9v/j+Fk8yZjvWAugAI +rse3K6RUkZO5gsIDX0MyVk1gZodqR3KkTsJPPHlzNTwECa1dvAqzc8TJn356o9k/FJhQWD9wYr3w +9mFXK50XtSsJkwsIx1Do2n5RbNO9Tj992iweBa7dUDv4LLJRgLCquFjxfG37av3Iys9T6Zz8pABL +Pfo2lrf0QjWd9FVBz+wsV03eVF3Ra8Qpx0typxrjoPIw2HB6/y0lbPZ8dtwQxPDdgCQb2bps5bBn +r/aFMHYL17AWWdvDziUXUPKES2SFLp0/eSP4UMYV/R/Q00/+GqyL6ACGGP4/al208pGGpthS/8dJ +GIvi1ZGQ3bbdFKsCmxztdA79JsRbBkRGOrCFUk3lCc3c+r1Tz8qej99ezMZ1F11ZowbCsAOhv4Vx +YPXAS7h75/0oYf26TG8XQhN+md6wGxB8pP8YYtpoEfMKPx4xoeEC/avKrmUEmaqb6h0BGzPtWlF3 +xCjnAQdDHcevmIGNBpWsCi4pkqGZ5ziJymKnIszNSAZaVnvfIhbsVnQU6PiL4aNaJ8qyksIhbgJN +B5xV2LkwP4NlQdyUOxY5TWufDIM56f4zBzS/5lH/Dg+CUvdOoH06B8DoFAcqmbPh510J2pdGFywK +Zd2njoej5+cES9VGuGGJvr4HXG5/tXQ8486xBRfR9ntTB9D2YvnAO2e03lPRjs13oalnbuj1YWa0 +sfVoXqc0t8DkkWmFF5Cqoor4oa1BJE5+E+RJCEch7Yjcm3pQdYxvgimt6pj07fxTxb4DZCKC6BbI +82LW8LZlbFq6RWNQtSfMYW+oqm7T4LQdYhd/ELuUFoKjlLNXlBx+KeWEVOf+vMprdWnm992qsOxj +0FlxwgBUS+jL+2GIrGIUYAJkqRhLB2rB/CSdq8U5LCsbg2XYcvz3gnznIMW3k5sHLAF5sidVk3GQ +EDlfDeQb69yGZOY55GHnDzZG5xh4YEa2h44+nOogzKyrQwObw15DV1VDCRZqu88+mtsSvtax3IOH +gzkkgNUNP2DvAtMKYemZK10SIP2lavlOD4UXNXXXPxfE/VGlq27WMGVrro2ihGnL9s0JZS90lI4/ +AIcwyCml7tQQQZ+nzzGLWqlARE4rgZTJcgo7PSgcC2TzyymH9PMQpQv7gHaEjKPgYzULhUlEzPG4 +E8f80+yav2rfB2THL8X++NMQTeUDF11XQgft7AptkAgDwhY2P6pzRFc1GhVKE+MrrQPqC6OzD/yh +QlhprJmGcFEVq1pkySPMV/W3pF4R9F4oNmRNDLTCiaIUigD27Ao0DlxmsXxYNOOLjqYGH7STHN+b +ZPiQUAwNyWu9z0CpDeXuzDzfq2WKWho3c4wmhwrT0Iky0PuC2T6r7kW1UFbvcPWid/GN0/kbPlvM +d2FwtAUCwasQK1i2/a4x+t3UY8QlxotY203em3cVMwD7BOEdLfRRfKtNjP8IDax7toi+wO8kUZmC +kKQBREznk1d6bS+k/JEBAf1DGuOKTvirNocyTnyw6gT2Khf6wSQmdXWkAlT4UtQtoylKSwxhxBdR +SwKyPvMjTyfXd1TjAJ3+kUXo+pefdG4FrjSM7JILGoI96/cl9ZtYgmRRcBGVDG+8IBHH9P7as0js +9P4FW1HVQ/NKaitTXeziK3ESS9fywdbBmLLrC9jlIwLWZpqCbj3BmUJN6Pth/HfTf4y7s/zW1Jgt +a4eADkN8KQ0LCJK9jEtun4UDNQd0A6EMVl7RfRbY+DVYDiN8me1zQALUZqnDuIUoLaSZ4BCPhCjZ +Xh3hieuPywzE58BKJttmWG+A1RLRT+DpivuOiQ75hJOu9FyU0wVUSlqpK3VwNQn/VxVqyfrBc7h6 +SKZlBg4A9E/K2fmaR1Za5a3GbKFoPHwVG/i7kmr99NVi1YDFELt2QAaiGH6Ov6GJrn22QTiSduN9 +CoBgET8Eq+/m1egkGNAsbuCrtpBHDyPqjc+AgkGD72TngQiauJUiOEBkvO3V+umOohmUznxnkB1h +hE2vf0Eh7GQoPx7nnghtf/PoHbWQ0grQ/2S/PNP5TMjr5AlqucMTvZFUlSG7ehPd7LjaJ5Q8gn70 +eKFA3auatRqVMT4fAEyz0IDmg2bryfYC4BI+UDh3tSGMcLZ8gmB/W73sO0CwG31st0VkKS/OXlBi +c+P/Jr0RKY+LJoHBGPdNE7eOTvdZw10XQEO4J9QD9DEeu4ywsRX8ScWnimiq8ir//72pP2EKwhzT +SbiT9kplmClOLDUCCMq7pY7bx1HvzKauM+GVKl3igmixD7a3qWNC3MetpoF22NJbZlCgzguUQqee +FJjeqLl4MhjVd0fdebqf+Yxnw6wQO0TUJ0wa0w7WVYL0groEVNAlhsM5wZg7/jalU6845V97iy1B +dJeAVQk8d2lasQ438HVfGJuGQhqAsA/wE0ISMQ2Jvi17ivo6yeOuGLtVJt0O8jNdmMHfARyxdTyO +2dw4aZXXpong0oCwCbK8ovRh0oBGe+Fy0v/tWxKJiXka1bv1CCzO8JNt3VJI9Qz+TD1dbEot2xId +28Ob0cE3946g/hK6PKIw9OdYpMIyo+KhBSIu4hweGN8danI3Ig6Bpj7FdB7nTq0TVP8AXcpdiQIt +9cxJ4mehnoeAhEa1Xx9mlfcneUCRRYvtSml3ehZSPoTHhwHJFDuadR8dFT+5IqQ4FrfOCGQFnqSj +jDpLgZKS75frQP77O09JiPJtfbe//lMDZMsvKpaYRyGSe4jbKbFc39UsFZ+s/smm/Tujp8nY1oX7 ++iKoWeGXDvchLeYnwEobvif+KvQHWRKG+dJzTdh1KJFuiFqfQrkubV1ExwYzDz8wfBacv+S/JNyk +69J8DVBvLmUmkTFBXZwWOQfXPF97yustqfWU+1ZVoPuTrd4AzW2ni2hCH+mWsQ1GFVhAXeEvpn+a +Dnpw3NJbs5h5/cYa+xDrRpTHMlvLH0FYL93duT1EUeqqSKTZ7O0dsNLa3ASxRQWkRWaGVg9WIz6w +yDle9SKTG6U0dLkclGfvyxoGEHDNtraivfvZFxRG/8/0Sj+XPciM9p9mTcF1FBlhlsE5NAjIixjd +2rSgxIdfxpApNhKBBvSzpdVOfix9+p3cwTC0rNq7qNq+krV3lL9Va36KUrohAOMwToomkr5ARb5S +6bFgndQwMzFjJexTJIUjqvpM29t/pg7JOgVoFqHSXemmUep81t037Bp/AqWrcc/+1LW1KNZOc7Gx +pZVpW5A1UDGxoNGc/v0Z3jgNkEyD+pDlAGcWY07VKvahADOnDG931eeZ0r8/KZHqDUkszs9nkMxj +X9DUhWSi0imaoF90LmTvqdRjLIdpy7Bj8qyeMhzA1H/Clem8zf3jVXX/c9xBf0JH63/YFU6Njhsa +mtScT2U2pLl/XeSgKSPZaaqVrbeXJygXf8E9zLFQRzRKwY45BDmdIpO3ErASYtB/Ct10EK3Dgq1d +cu+8LIwt3Ml7X463rDdrrMaxrY9gA7aM8pPu6JrGxOXQuEMayzbOf4+okFasAX4pB58HmXUlIwtR +DfEj5loyd3W16d82UEzuJJFQi6lZ35diwonkdIJ6/IMy3E5x27Igmm8EY25en9IwKtzteYyJ4Fmf +QQ5n60sYVCgLy4IB+kXo8XduW8xzTv9cT/346jPdYAC3FIwHPOYCaXvg0uFNVgvfCZmv24qQwJfC +Bbp12l57DNMhhxf1T/xWMlNqnCbFYe0dDhXVh9t6Hwbk0NP0XGq32s2U4J6et8uMRaO5ykqU0erf +T6LwjLlX0QLdGzpyTm8vWduPPJiQcodihqkX3lmHgkBT4xlKKfjjyJOBBKu8AsmteMhoWCTR3BSY +0bDY2U70CHhGVqagB+pIepYVVZRYkhdFrdykLcb5jGB6OBZhgk/V9pCNuOKSThkNzu462ef4l6E6 +7lyi1T8txaIWLInFs09dapd5R3yVCD/oGLrP08DRHBG3pVCfVUeOagLoqf1zVS/JBP4CtknsqKZf +Ig2SsbbsHOYjeKbKZ+899rN7PxvoMftu2dWU5WBvJR5Ds/dO54nKE8erzJgRhI/Ig6RITE15Q/to +IjxqaI3nWXtnzW4wTfK71Ug4hNvEm9StUGtQK6YwrJRahiCv1ea5wy2li5JS0C5MCd7hwUR9e97p +bIU62b3DB9lWp09GWERFtpUm/gfcugMbsXi59YEGVemURjxVg4WGgCvrPiMBUZY+1EsAwppsDcnq +oTcf+/y9mwgSs2tMlf8kKqYWu18xP2lVMMsutZGu6sIWpd8le/C5FOY3o7NUk1F6whWdT4K2XbtM +C7ny1v8PHy4RuduV1gJ6ZwPhQ5ZKzROKAM7wImPa93kndD8XTnF9FUji4lcpbgXTPmngGHQkyBuH +ZabIhzKKfatHQhwjRTAN/23S1vFvQ5SaUmzC5FveNsaDyeFwSt0uDyoufyZuVdB5C6ZAGU5JY6Ts +3BZ2hMHwS6UCZ71r5V4qcGJkyT1XROkBqem/UwQ321cr5pk2/K+XcrkYkR+zo/vQfRMmidGODVoa +67Rjc89PCdQzeXqG67Nt8EY67QbAupT0Chkpz2md3dIn/2iO+4OEouSKTreFmqcwr+XH31WA8ykk +axdoHLtuD3QSMWRB//fX5nORfLxIlLyzFllM+4vlZDGD2J8KEKhPUXoKGpivIYqSwzT6C6t3tLKN +AaeEVluhAxJFKBFLzD4ZTmVv4ZUyH3/avCW9EQqtmo55FuvGJgzvyiO6tPBpbLYFuhFzplKm8NBS +m2GaZ7PhF+ur6ESrY95C8d6CzhaJZkIxybW7bvP9avdbcnQLB0dm2L43/HiWu5YXrhUU/QOIPPMM +GA/crYGC0a9n6JXcIcbgCrlAQl/HyxSx3ADQbK2U+5gM6Ut2yDAgAL+Y9ZnbCunU0Df6pF0ohMAV +oX7TT7f1SiUiYTT7W/tDC22bx9I6GwAPYMZydjRUU9tuSB0sVKW70CdJEe968U8HBWxtqNy1vq+Q +nhyL5hYFiZe6evH+NeSccB13yUOX8fEXNVGme1pl0hvSDXEwyBblNzUZQeYn/RZLKMYTB91s0+Ce +tSprbNZdcVU7FgbfFmW/RNA5KZFIFF3ptUrhLtd//JBuDVbRifqOm5lc7s9Z+1AyQeU4S9w2M4Kb +csXjwNAUWaMnLsuLIFIhbjzixG9yL6PaIngebYYfsLZngbxQrEJkHQz204EE8ZCP8cpfidu6mu5S +O/TTJctYkH+LPBnYir/vwqD2iKx5NS9bpFKTEN/0v5TaW8jpQShD2Bwu4+weaJhibyN+wJ4EdPIp +l/z3kfjIZbV5pwTn1z7i18evhVA4PdE7HAPJ8qId83K98hx5rZ5Rw+jlXd6o6YIoIeT+ATcT2nAV +9gqrrSwy16zLeb7hpf30KjiqSQtJ48s6+zBrF+UZ4LL3xzYqQxPHtcreihjLZ34TpCHxXIbbxnzL +toOElZsmlmuxopr6syEksiN+eW/NLduNF07fOk576fzixHavSQ4AB+FAQ8UWqHACoeVcZcYMVtSN +EitJGVmp+njiP/v+zyVTo8ZC/z4niQl+ivXDbbw3FOodqmNnIyli646q//s9FkNZh5vua9bcBFyh +iqHgP4/Y8Tip9f9A8mMb1Y/2P8QyhKUHm74OxyIpbaivmsH9SsGRaVDDAETJwFC2sk/U+agZK4QD +nQcwr2fklX2Tm2JXgZdUb5m8z48K/q+dSXIOO6O5gCdM4dWFqJhFnemqO0fQzy0RHIzxEZSEhHwD +3avcCC97E9Yf7GC/tyl7LnWRTexR+5nYv4aYL6+Xv+XBJRPkd6pUz/uxDKX3koqg3nL13zBG+6tB +1c8oIq23kHdNhHjikx5/zurVQKCdzUWLc2TjpZAhiUcwZHpv6AsnT88HjgH3faG6ggAOTilJz1YP +FrbGbUmUO37qaXOVfXZQLmdIlLDfJ58gnAVzf16oAceWd9bbHcpGZqUIV97/VIK58y1OCqvclWwV +CiMyk1AS7yemJ9i/9TeAfi55xqa+JwlNGC/aSYV/03x1/LFGrjYowByn/QY0N/5gbKqLyy54B53B +oeTZgKXf1qm+QeFuQaHvVEewcnFqUkZ7Y4q/6FlICfcfvF08XSmKSRwoA5FBnQepwIKYGwBlz9Lx +R9lbqULqWt9zmho7nao7+qKbkQvt2AjrttGG0k5iXw7kkWk8K+InkczHlyx6v/lLFXi/hm8vCuyW +BphEX9QU02xwb/sK5aPouZ95tgLm6tKHRpNIAlaJE2jmgKeJfjI8ima9GuEZQ5fEfmyDeuKpvs/R +Q4lmvaUEl0c3bbY5tXDCUHoo5l22oJVGe742P+cP1MkRD5imZE7R6++y+afcughwKCH1WsMdDSr9 +b0sX/G2jlREZtISIr9EgOaLK+uJ3oTNUn4Sa5yaUO1aDGEOjxqDf78jq3qz30iazBXCom33aojkq +emEFWMldGfkaUnJWLZQhmOxAphdiiMvItQOflk31ehDsMS87q2riu/kiLwYcbAF4+xkW99k9XQi0 +LdbZ+BnXQA/8MJq5C36P+8KT+bHUA7cGCkQylxE1oWNolTNjPAr6KLmANp03EtLICAH/fNroggFP +j7+kCvGtHqYdVyFLaBKP6IPyGvDg50DX29PtoKgRCaIfEh1B6LNZr/faoDCtKdujXNJrMY/bC3Qs +PRokWh6PDKkk8HJjL0H7HKPernH3L67sfhdCd/qvjow9TSjirwFowKBlY1/7RDMQNlagjaal8Wkt +cJzeRPUnp4x3JrzzGWOZR8dcZG8PUoI6wxeeVNnhAx7OfN4B2c5e939ihYYOFTC63+nVgz1xfse7 +GyMvqFJWt0ZSRz70k9bN8kp0kFiJowg3MGIWBm+wlVQCwKBZiCgO4s7KYF+6u5FtHlJgb2/U0W91 +z614/W8wrvOsxRcN+7RD6ffF3DQ6Yw5oOXFDPU84oFk7EC6ljtpOzR3jWP60CqsXWAF6DVU2XJlY +muLB6zGCzTUiHBME9bi4qov19NciVj/JbzfctJjjlIdr2ACRxMUR7K+WUDneBdeNj827132NPXVK +vr/1PxccTeCmDMyoX0Dtf8yQKZdONzFFnA2WlQthn9udxUZOOi/ZKAGT5zZarStXy3CjcUUL/Ydi +6JU62EYhSi2OXfLsZQDuSjrcsC2UxaW4ZrJzfJvmErgNfhfGHO249imHlJmbYf09aEpEBtcDmEic +qR8qhD6yJGUjMT9JjZnTsM2S6kFWMw2ENmdDg8KLIba3/vnSW2MzNJoDSPdmHErZStUXeK02ftHi +yQoWqmjo4DmQT9tOna4mFeTk20Ewd48nR3SOL+tD1cBt0L7M5WzIKGWPMIcNVmDD6G1lA6CppS8F +HvA5LpK1q7uPWGlmflGsO8qfxV1vg89hOl1kqaKB+vaQsXtSsz1DIqa9VmwsYgyuYUgatt6h9nrs +RZBJTrHwv+DiEiB+fGOHw7ydInZpDLvlVMZAz+d2cg0unDIi2tTwGhHlVWGPNagCJCdzKIwLpugQ +ZPgOQHoVCM0bE7qfloELVU2XvVSRtcx2upfqPiH/esM/9wYISywL+THyHrvjRlRXb5DWSP+K3fX8 +MElhxLEOQ2jg8pO+pxQD17gmBGw9F52ec3VmkomuA72xiEspIQX4x6zKYDNFhCkS+qX0o4QszoRT +bg1WdOg6AGw30lRQOb161wTpeHHRv2IbkaU/Wa3ZA0u7AS3CyH3O1uKwjrmjRzPPjnH827jPxAli +OxZlyDgOtEA0yI/pm0vI1pVPGHjcTxhK6fk3n9c6C99EkjSHeQTT4uR1Dn/NRnwSeBcqkqGOhtfw +nRG6uhGnqgp6iWzx3CT9Cl/bnokgtlBGYeYoWiebHi93kqR2jHn4tO55d3j3jh286fAtY3qqyXlp +y4h4mCJ2+1cpoJCJusIsqvcy8WLI2riesRw8d+GUC31EQAVo3hn+CV5WsJKZeHpWzvcBDGLlq4Rr +paVCLuhgV5++pZhoIbPP5I8PxYa4EXf5VTFdsxAFidicVVc7FUs3enmNeFhXo08YHHFzGAj97dFZ +irxcYmp4pTaywRBuqGA8dWYSV6GNb4aKDqyesgAMTozLBEElArOMH2JmN8Z+U74kVciPP033bFZj +s4Ds9xDWunzoSBm+XHbtCQmPhIrUhA2j68gb9priCI0qUfVdxCt8/vXjpdV3R46GhkWNzI4AJmTv +fg1f9RJfZnlR7n+MEXYevRp+mt/zYPC7AvNiYmn1YGU9+lbNznXHHsGQK94hyYhoC9ZjZb/YsGaf +MT0FxZ9nFoR6GNdeMocyIzKsd5skuTzs3KMlDZLrk0CgauEOAPX4Z1UeJUoIY1uep1D4jb0BTDta +Z9yt8VhEBzsfnQitVHAoqEXjXAhGdzNbya3nEXDxXiVxxEZdJB5uoarq1PbpHpYsEDtDCPVL5vra +n3y/orPqiRltnv/M98tszw56D6vk7T24nn44J725UWYPdwBTHfX2WkUdkInps+s6m2u7px923/Ji +YJ5xCR9t0L1nwaxFCrrFvoo0vdJRs+St8n5buAxgzkhYtHahanVt+ySwXlU3lm8+H4GT/Q9By42V +Ed4KE4ZFQVwvZS/hCq8ir68Cv0wpApYMtFWdIHaV4VDqj6UOEidKYFqDC9ubx/Vhn6mavNPsaZQi +LhT3m6YkBwMiGK4aiRdsa+tS1dFkgfAA5qWGpQjjpWlD9mJCyabqwfSdZn3diJ2JxjI3f38FWy/5 +q6mivIJNqMLdTNLDcsCCSJ4Gt7y/qkwzKvsTll9626L04GJrF/0PlFi+VTBWmERHIYZR2bdjEGBR +ZaFhNEY3JRf33HkGDKtbg1uqwvN5t261Eswe/FSdbLxBHkQcynhMx/jdzjHU1aJEfuhVl9JAal+j +BPZv2d/8iEZiTDaoqivPHfeizpO+Ed8XKX5RnCti/4UHPZJK+dYCRAnGgVc9mBESVUDXlhcdfMZM +NBcoUribLt8hmPEosrsX2UA34pdNJzbX3puTqqWbU+AlOrCtBdugFzy6O7QCq2BVDu4lah3zWb3e +99NUp37Rcs2SR3QnFamgpEgT6cu78tSp7KdwwsABwr0YCY0jGN3y09lQsYAUPHWcHAcqX2LYvLLi +XBXpE100/vmkqe5yjHkRpcNSAhwYtAsFqU9KscDy/brJNE5YprCCxEhDFNsfsCTA49HdkC9fZHUt +0vAEfnpkPENpClpWGV/7oYUFk6mcBY4ISjGU1+j0WDnEVnTgFKecRk/nDgc7hmxfl/ZvYdDpKAYT +p8z1o6W9w+AcxjDGqEX38yic6bjLIYbcwBahZKO0ejsjLr2i7IPqyuQ62jSKCk2dHzB3zGEdUpxw +YXB6ALwrnmQ8ZJbpR1IIwhv3oxe0/lwmKXWFabBirfy3djVB4lQ7yZXa9fCkLE5lkvjvMguGNGaY +Ltj4cYS7D+tjvo4pbZxg2TAQWeVykF5YPosvZshj35LejkkCUJpI9Yf5lDYs68Ml4msocAqTE/Li +G3AWWgj5batsh9y7nvKRfyUafvAB9EW7BQvo6sO9VJpHRUM9NWui/7iuSIbVApuapYWKcgKw8OC9 +D7C1iNwYq6uH7UVm3otuL0MwVUyQH5qWucqoGVJD6eo9L8u/EEhqurkSZ9B6q5OdR1UNjkYJ1KG3 +SHhcd7MKHLO2lcc0oh3dsL/QtSwdRoYPTIPcgmWgdAXlzEVXOo1dDotAE+mzBvWYEQlkN6GHZ34+ +SbM+5QUYPEbfjaNl4jmkBKOo8sNJQmNkR5UBZich9zY/0QuT6vpB1fBhDiDpRy95fIKfDpEds8n8 +cSnggwAV0NRqmAqS/WVGyBNf//OrqQu6gn0ZW4jNRw1/HyCiMWhOB2sEx+I+DRCiWqmNqKg89cWx +tSC7bIPlsFKIVZ1WgUcddZmOBTLfsLK5EXnMKSIauHlkRX600O3Pre4IBbGNzWem22Ujz2VzHvEc +nAPGGGcxNvh6dzu3VMu42CVnD39H02HcG1MPgA4TNEfgrrcksl8bbkKsjbGRiGZ9io9mnc9TVSB1 +D0mm4w2RjRaizXXzKPIbinYqVLzXHX2NoqnANnWx8DgNQi5+HpSBK8bONvKURHOfmAZ3LgtPWOka +Ssu+oqrOwCFbW8hrdVFXaY4J1+kOZOmFKl8yZY2Cc282YcIieLLy3MzYybj8f1B0mH4VxmS0peoD +YCW1BeMVGzWS37AN/H9shYJnUzek/lNmrZuSHWb5AN3hS4/Z1AXLcj607EtuA/JTMwZRkS5Lw6jt +RkWx/EqCcI/CtzJtKxoSaub1x61Am1NSHDYGdmPEOkPTtwV5/k1tZxte8isJMLmD/EGyiSuCdB3e +Mi+CThARAnqL6y9e+oa5wcLnWB8eg1oeZ5Ny37+Au2a+l1qSk10mZ92jKneFM8q8WdJT4yT/d9AG +laFITpPyK043L2xm0UFROcKIC9DAkJFXzV5+7UKZ6B6+WzqCeNWbzZvzZA1+Z0H8dYb9s+dHqcUb +xMdAiGrqmrgwiWfrxF3dOif6t/QgQAPVNKODujJSjKgnSlo3/jUcfstRkCoiOxuTTokf8UbZDhlA +vejuseLYiXKrhHIEqs1DAX8HUy/DCvNjuZj6cLdmVbkFaY+ulj4K0I2UZxkAJ+vFh+wTSP2jmL5i +NBwa4fFd7UUq6tNJMRi/mnho5ApfJCiMKGFODtdGYqW+3hH0PXw1xkobQ+B5x+6OFsBsn8Csq58n +KzFf21DXgIeOktDe2q5pdJ+k3Zs56+pzoczMrQxMO8Zmrsr6LjCLAfWq05OydfEzW6vZbuHFn6Q0 +OD75prdwRSCOU+4gYkTdjbZwRmLyyDppT39u+yI05gXNqGtH329on4PQhnFhsVmNzEftlKjbHG3+ +4XcX7rQyLIzIFPzNSz8JoREbexgPTWZX7anyjQ4C+OWshlbVckWx9T6hyZUMFtEenc66pLb2XJlo +0BO3TiMksQnN3ocC6t89Hhx1z2Lo5+HRKqGcqaIba4Y2H6pBW2UE4yXngbg+Xc+P7/DnS6raca7Q +kUf0pk6/n4UHvP/HS6tasE/cu4r7GS6b+OWq39QRThTxe/+vkG6aVAuBfAvGJNxwrB94QRkfxQkS +taHtjwRsQ9VHtQSlm6sdpSdssH9Qqs+JUCkoUBkedEe+aQOKPI8pXnafCSzYLinM8tvVZbbHdhgn +1VQfED1TQzZJw1HJtZBbS77DnElBJ69IKe9EGU83+yXy2VB7qT+G+wW9/7VsZ1b+8bGTE04RIim+ +8I7J68gtJ0XYn3+IDG/jgJXcMGwoQY59kNi1qtN+4K6LFXUpW12YwIU+kNGqJ7GOv/NIqMR5G6D2 +ggn9BpL3P9GBzkc/NIJw4Ex+VqOL/yOjZ8INHatcTc+0XzZik75NhNSKT51PPJ8Gq5XBE08YLeWP +R9zDyBvxbT2ba7M1oEAarBkeJ2n3OUq/CTGmEU1jeQTzfOfWvV+WiL3axQ15DSBuye6tgblWmGQV +r8K+GZYkXvqn7RVeLTWz9dPaKy0Yzxli5W4xQFG7r1Oqu0GR77ZnpsFUOOQWmnqgSA6FKD2GXob6 +K37DyDLjO9eIhfrXsWSqv6JSDmKZMATBYR2mptIJ7HLTID75nntOTKz0eHEZOGEw1Ws3WwWSgc/x +VhyC7lKvhde2u5sLrirn0SwH2hoFgGY2k1Qcr+hfSIYmyJubSW1N9v3sU+8xjYZd1Tzscq1U4iZa +wiG4UILdHvUn6X+pLO6G6ycsOS6kr3DXEdgUIc6N35HSfQHywR1PhkO4FJObrFSbL36kDliOzSvb +2TH2sZwjhKgluIOEEXTL2mFDT3bmsFpwOdWUENOkzc1XaqAhSS+yGK5z46zaEOlKSxBGnTSaGOxG +RpDuYL0ncGrfUu1yVTkeH+XCNELOs7dJ8noFY3DS8oo80ZH/a++F0DhKIdqEGyqTPe0UJBF2RDoB +v2Y5iaajmFOFbDHGF52vnQ3+apghl6t8cTwKE7Pb3QtyLvVOkxdtzv6xGPKX+UhdA8FP2pytkFJn +iVHl5oCtmyC9tqB1t85xbJO/meVTds3602wOskEg2NLS2dNbvHOQGSRq5fRBt+l4uZXFS0HdvNnI +LHwy8EbFKZ6zRhXJm56bqSETTDujeCM/jCm3VGtXKHpjmtpdIbpZbCH3EtjiSDBwoccN3ezyWpSl +QPie1A+goTYda5Qs7K0mYADojM2u2qGew3QNXAwAv6V4AN7xo+6VQej/RlMZ3wu8M3hcFAazC5PW +NfPrqCty6YRp2Z7K4JZ2/P6Qjz754inMFy8ygbO6Wob8AYa9nydLX7OyZKG/TlaghWDc1fQdcAlk +As/96+VjJ0HEOv1iXXRODNmPZsse8xssoXBEiQ9GnvE+zuLlsYvYIPaz9Dfy3bbxAn7O/DOE0bdC +eLFoasjmLXLb/Ut1faEsoJ6/JeLurNkVVcdghAf8Q1jK+xZT+rtOBtj37kPre6pBTPLgTV6byAOs +QPUYhVl3XgBmXk27mKw8E2KnrFStyb3GIrybbWgdfXJFlSNfo1s9yHBMX2L4qsVtGAdTLS6NNUev +/5l0PBN2GELWpMvgn41PDQVjzPN7SCw76bzDxFInp6b4k3f9AlCKmdFssSj32dJz/uYYDXVHMHmW +1/8jFEWgFpf1DZ1nnTXAuh25bcYbTTqSlPY8nG8slavjBw0VXls5a+xOqEJ0PXj4AJcF9q585wbr +T+5h1S3OL2mwCVjG4LzPltq5owo5H/2wiPucJQPVosld1SgEArz0vI8JujDKCt0PVtD/TAR6K6+G +0dvab9i9DmTjNgfVYqtQDf5KG1ZcoPrVCssL1++pwnYYCzEM2JGH4vk3lqNiDzOzP+u6+ePuA7l2 +4mXuCfsyRIV8v5Wn1EekfWSdF+UWsc1pkBDLYNaOK9fEwIkEguh/KsikbApu8yrRF3Vs8A6uKj9C +9lx1mRVXL1V1qS4Eds7R4UmRlSPog/lQZBt+IvpvYXCnxxB5vHKm+4NtIdg1Owg8ljL1u7yKVk5n +by4VklU8cItTwuTeltJX19qu+5BiO11QdI2mLwtbKN6ebCRqHF39mGtSFzjsvUR7y/C3yF1y9LZl +w24AuvQO3QArDOVzmcchsoYJSPOXEHmAB1ITU10v2E08q1w4p/DPiOLuN365eiD4N+uHUUh86j+3 +9u4Z4zHJAxKh6lZw7YUIdbERSgwl4HazwYjh2+gAnx60XbY8zwzz3j3eSgfhCrENsWt1EbeQadxu +IfN0dYVT2oQmSDv2dK+igiXW5ls1p01AeABgxPKpD/L0qv7zvNkVdLawzlkmpFmbbWm2ghmh+wvU +wGsacxNRcP3XHGo56pqceWiz9FEyjFD1ScCUVy5JQPZiUofDbUuqkzc3qaWfc5pjhE2CpGi88KWH +72ItobfL6g//mGmqjsVWdML+G4fID/p0QEk8/+r1vCuOtkC6ybai6Tg8AuULpd3ihWFjg/bJDAy2 +nUrkqT7worZNaCGnE7x9xT51iOBJSlUd7qMtXwujAzBWjQ+1P2gSdSke365edD5m1jcJibJx+nj9 +n9n1XPjNk4uIe9rmsgLbbLTYtUBzkZBymcRBPxhZotVJyURWLjOmxSSRXgkSEe9rSgK+pOhcpR10 +9cUp87bngnALs8vCGicm8H7ffNBm163q6gmk+vz6fETBCyfHgG8S99BxXYXyEBIIpkM6dxjEtcAT +pT5L7qQ8/tK3T0LyutYv77XD5IU8lJDcPta1flBqfVCkdseFCezVT8bFPyBXojv0U+b0O8stWuae +7H1pawPc8DwhumwNmg/HgHtsc8vuunWPuqws2lSR8//fz4+yczEPTfBat98cW9XLzrgEcgbse37V +HMDOmrsal66siizuHA+OMjk11tsk9wRwijKR58BQdBCHboWpDcmFFX/Xo1K5HtUrdTjZRoC0tnoN +8IKSzIbNRc6t9MmPI7nVjmmE16h/s6w8re6YmiURs2vGTQvr5MDXIMiuYtPbsHeklWQZRvsHICS7 +MLzinNQ59midEfLZiyjUUr6AQPuA+OzDgDdWnbIDNin0+cKSBWwCdgICdwUgHMV0GBMrGYv8EeLw +Nr/weOYyWeBxtDL3KBZO2hYH/EM5m4oZkSzDEMkYxxFTr7QEnxCRCcrSB+hInjbTZDxvCJOaEuYV +yb5dDH2cToXCBfTHp3HqD5Vft4oHZ8uxhLX4DbdWed8C8EpsY4KaNArirbcm6pZcF57nbm77G1cf +ojxmV5KkCZd7PS6ymGM5u92HA7VblZUtLo3ExwoYgzHV2IJIfOcy7n25P9FoPJ+owjW0FKLBckNf +qebeIKAy9Xn2h8cJUM4HsWIb806RzqKPqHH0urQsVYBFn+aNBjEWLZ7991F3YBRDtW8Z3vakJaSm +a60snTkQf1XcDtgZEOsUrJcfiWsCm6K4p6qA4Q1JDDhzFmCAvc5ajgYx6PY0T6REggxmJ4d+g57y +9fG34uzP7T1+7eUegd1vjn6vWXrahSCi+GlZAKJkA4+OfWaIlqAmzZjab8A2LRUQzj5QjUYDm8CS +/mdLoIE1CUJwCqx82Iz+6uVk26EnJlyf7bsMvwXx1ZUQSgEfLKuEgRPQwRHbmAgTSJ5pUaVkA6Lz +uTYMqK6RKxisJkpQguU5CU5STiv4STwlR6nn6E53+c/2Urkj0RSY54lL2XQX2hpmqZsA4MzDILAM +N3WQXL6w5mCQtyyzFmHeGWVdHmBM9V6ELH1Jj5OQCf5uwzryBi0674o3u5y6PqWJjgNG5n278BnU +83dgKHGacuSdetmf0Y8gGNDCmPJZkUDXRmd/NwH8H/j86dzCI2Ms+JU5qHkV4nXLH+0JZH3G2FgI +/j0DH7l2a6f3TyFwiumPC5XU3oJiQTuxeTeaVWc6CuX0srcbFp+4ihUWPP+nZf5CgK1TOuEq53xb +4qyNB/21fQFAgDjfWVX+UT0CwUTlB7qmO2mmfcCRExOTTTENRxWAu0LaFkFOU7wKrOXqzQMjLFZ1 +VW+RKlGXdv1WnXLxOlBrCynNYQ4hvU0l3KJFe3p7gQWXXciPu/s2owb0xbkF9njJnNFAA/kkJLaP +92xoSiowSP7fWFo6sxaT4NvZc5Xuue/CEPK+hWaa0pviGrHzHTUtdVrHaz4o3KelyNqIOdBuH5Af +JFt5qqNkOHQkihpUTijr/eMJLVAdlH7qepiq69+kcxWUVLdaLzBBd+FD8Y62cyQlybEAoWYWkK9U +k9eZwuAAZQ3nqQxPBv5230StnEJGv1yoXDizGHeVlvb09HnwlUYmsraSstqR2dCxuyATLotfgEHx +YD8JYQChDU53MJoCB6y30/aEboGh6SR6xe/KGNwuzS3R8MwBHVdKwjYDJcgE6yB3kDgUqJ8NrJL0 +l+eN23TD+Ss6oDyedwtb3mqWb/qjAlMxM5JqBInuq72BqD7fd/YFHbaN956eMcRr+b2cLgxqo9SA +x2JfQpBtSzhOMVnl1gfMYyVb803Rf6PE975/tgv51XmtUUTlVyWbRdH4L0gg2eLkWQZlHwhg3BPk +efm6mAHdRF09aNFvCLf1nla84kG3QeauLcMOVOfY9iJtAgBfUajVAIj4x/E95R9ztLFc508e1agv +xNfJLpxTkk+j1+QBbwznAC/eUA33iDi1TN3X6eGIf0TdOik1td//2Ljw8gF/PswWfiz/1cy3slu0 +/4Yc1IyXEXaSW5+m0dDdFjD61QobMX81j4t8VbK6L2iWIcvmZ7nuHzdnxSYRJ8ripCAR5TgNlImX +/KzC1E1SxShUOWvhxphXnQVSwpZpwWnLVNPw9bL7025o0FOOwRPHXAd5nbYX0+s1j0OE+u57ADgq +Yfb5LhWLoBLlKLtAxcy4cI92BUn0EUgFd0w5sghyLyqxSzvN0HZ6kD4OcFvx+elrESzqn4iQ30JB +Xggfv7nymeS+H9rpE0tj/u0G7mcGXgzoKu4XwMTJ3LieCyTJbF6mctpYGgXHkMbUuSBx8s6FMKX2 +Ea33cHbSdLapq0uOznRxFDHqieyNofSlvZbz75/Iyhx6IbkMb0V36bv3GnkfYhLhlu60eqc5fTiJ +pq+5+LRqWHl53pN+PPjFF2KzelHX8yqj1iv3jdK4z2KMMzf2oCLvQrCiZ/Zpt807zv6Qu75d+k+f +8Wd+kVaDw8nryX6A96JwVQxABpzVKL/RGy/hkOOL0gzrz/kgwF+qIpcrJqrFc3rRFFmiKV4+33+i +Xdm4DHR7l4Zokv02d1h8YTnp3c4E7Ijht6bVtXPxrGPj/LnRLzKkpBaGnU271DRctwEyAYDqxytb +ZZiJDDtZqCI0nIIv/k+zTLGBklyy1m2RxE+EiB4Vh6/txU57Jng9X07nT+DMiO9ZS3GfsqycX2B8 +1CGHWO+miOi4Z/fgmgozRxWPt6ledkiNVHqxkO4tS5t9wE0kgc7/6OWzzNLnPufwo9HS78IvjOgw +OFw8AzxjtVrJ+tOT6Z75VE6mfOCzSWhI85MqyuDdR3kw/qfYyXPMGSImOoE6r+S5L5FXD009bm6W +GfADKNcwUdFbTn+XvlEQXucSCQvYWqGmGZj2vT+hwgRytsaFkBm66k3Oq4W2zIZoV3wILol7WSFy +e4bZAtw2kvBfx2INZqbO5srQjmEFc1hfaVlcF0TJZ+uk8VPh8kZh+boPLnLRVHP3vgpXfMj/3nUq +bH4Pvk7JaTh6AthddXMD63mBedcUX8KeRGtnutWDClnVkl3Rr4Yy6Dd2EfB/bIU88tZD/Llixglr +HK7+xDk4LUhX2WcxPMaUNUXdivtkN3butVErwqUe2rRYU6KVmDUk+ym6WuWDZ2QaApHPVau6/vE6 +3YoWNDYk75gAqvK38CqNTuFQMDysFxNSvJSCzt1OctsnNZhm9hEQYaBYM8VgBWRoE3jo9KFBWqB5 +hC+JNTVBoLARb4U//RX4mQ+LAXgyZsk+n4woTwAOo7dq2BuDZ8fkaH6VUB8KzAqi2BTW/s/CeFff +3GZ4Eqton10Rd+KOWp+3fFcmnXVi0hYdcnFypG6xGPLk6oiUOIOsfB5S2odWf+U7a4wx8W6t5eNb +Y4xMJLHfL/aQ53LKTplouLpvofz82w0n6reAg31Rmk6p5Q4l6YZVbWP2fIXdtoMh87FRjH/U2DgB ++bcvBhxZT+PE/y1G5EJbeaV9wagtRD9VlWT/M4SsORZj68nMfw6oD0dfIpNmsf6ntzyNBM653qu0 +M7mDKgRraEjVKApBHEZcWwn9ABuu2rjJt7J/MfmRHmILxi//q7+jP6iExNydvqVkCeVdZbURU51U +Q5XqSMZYLgEZKWRCH9Gvlr+M9/ZGao04XVJLfjbixGiBAddoXiDu7eI6V4PmUHR0zE5Seunec7wQ +LX03yGeRSlhmm2Jer5I10BlSFx4xE6M+cH4vkvIeXjydyQmTgGt+8N3+QdK/yipMDcuKVxzUMo5m +E6YLau8LRpzerPYE+Dx78kpQzefBA2WeOp4+yZ3N6JnKFvAhvvixS8ZegyQY/9r3UWKnFXFTcule +SZao1Wpo4ybUMpFBeUfThslDbGgfANo7XgacDiqjWi94D1cCTqzhckp6JJ25FiG4QuC8v9Hg/j14 +d6AzfFQiQ+rhpoQGROu7W9oOywNVPQ3Aojd9HPfN21KxzCiAFNaKaXDq6vKAQYvT0WdoZqqVUcMt +k4iBqHV/7LbB7YRHwAPkg6F8OOZQi04txKLMcwnWWJ2PmVqxxtMpvnhIHrQkRsXlde0wS7ZqwO96 +RrnBLy/r5ALCrfrgI/EweLoLrXEyMuQ7gB8joOgDrReVs7Jl6267Nz30xa2i2ipuFN6S5TOUuRON +8xm38P0oaIPy0t6P1lgUdsKai+47lfk+OkSdxdiXTQeqZcF2IT3kWuddcAQmT/qRPPzC1IbHcDkS +vb0+tCxACVLyRSohbg8TLvnUTM7gs9sLqRTQbi5O/GUSbDmuFWUqBykp3z6vSzLb39z7P4sY7hyi +7MOYBTYV2k/xI9Nesv50N03OZN8HszbY7lOQOtyhv/srGr32Q8SRKr6gJTXYKzVoUoBsWByIzWgG +0BxyLeCB0P+zw4z2q6kpilZmHys1catJUc9nY+kIw+P2h7O/LIlxYxUAzSH8PdNoEU+dMBQSFNTK +RtZ1lXZ34ON9RWeSC5jB7mjzlcUXhLIYQYeD8vGkF6a2oCOGI6nJQwXJho4Aei/0sysYc/A6VHpC ++yKA4LQWIDVw7kh0qICRB8ix0MpMgK5q5hj7vhWZyuWUfv5cJR2+AL89BR77P78kMHML0CHl5uKZ +wo3VP1gNLM94OVpbzxRMiY/lbFgFyPcwgpCd91YCaUu1574VC1fxTYEv8yu1EBiBagnd5oprhs1i +//NuB1yLNzCTAjKkTuMkGji3jskE0aj40A5ilRe1BN3Z4SvfCGwMYO2hRDeedTJc6IuJF0Cba7t/ +ULtpseOJss6oHPvoL80yYtVMU2MZXkU2XOqeJYVpqrpGWlL0qbzEIW9BpDRzqTKDVxejTiH2G0Ne +sRzDwlolZ0DIiDAFfLBfwRaALf/GBg9bKP5Yb7RMpzs8RP8aNyXfLhlkDWvOExKqfVIsD3Zey3C0 +cSkkG7X/qncaQku6BeXHOarH8w/nnX4rb+s12EXPbO2vNZDMJCUEWMzw5qJn4uRSon/buAdaZGXQ +FxZLQVFjJJMzwCps8pJlCbOjzSZvVRxn2bguF8Mgz8mDwSAJt+QVtyEHtwsQmrWT/1wwT7pFxctM +F/jAEsvIwzM/3V6hLwqZI3pVQPuTmTaq3qWlb0slBU1KOKsr4GnmCHAmeutMA1XpzgZ8taN9Dg0b +EytUbEVKWRsQRqwVeJp9l/PeOTkOR52Rj79xifak0qwO+vb5MlpN3PbU7VtMlF5ecL4e1siZSTku +GORjS63x1KNorFeQiUA1tThn3Tgz1oYd/QOMBJqdiH1OxJCa7efS5xN/JJd0hMYLrPm3LDq7mlCC +uwC8Xs2T9gVtQl5jSVsKIDVYN5+pVZisS1AxJEFAyAItK+buVo9qhv0gsUhvD0NgGlg7u9uDbO8Z +/jJOHF5zC2y3JlEd7R6/8Rc2XCS7Pq+V8q491K+e89Gsle4GorJFPGWEbyqYMEiGfViTAB0ndm3c +SMaVdVIbhzFBnujMuwRlyeP0dRGsRGzc/a6F65W2cpQqWZs8KsYaZI8+MCw4etW7T1mSvMm2qvKZ +yckOM6ZJEzJYCOLNLO0epk4gE/DZpcJyltvJ+g+pUCWZZspigjUmyREVk9GfnN80zbnR6sbktpj9 +EZCwEeHYWSy6g6sHx4yAO43iIsTf1htpKaecvR2YHtVPNix/L2CV2CnPFX4qaW6zjA39VWOgudrQ +XebK1nocGYRLklaen/O3qVBZPxvES1TwVgxRzlguxzr/tr9iaaZA1eKOjXv/Tu7wzT2X8eQsThQT +IXpwSedconE/s7tYJQpwvzuK87we530D1eS41KlVDx7Uyqu4uKajPongUZMi6qCJ6H3CqnT/s5Hw +iekOtprq7gLsfaDr7KMLaN1APAGNYP8B3U/aXLnyk9InkIEkr1mwFKWjENGvWSAW2TvFQdiBfX8C +vCDCMhaRJ0i8SfKlQtQEcuvXVvM1AJiFbn2kOXKUS3N2EjAh+GoPZte0yswnRytBlq9udASwTpmZ +QgzpK5qOwcoBpcVl0QRXUBybpmKHTh47iq0nD9kIwVil2452EWwGrByazYJQ5gq4UKy/StWUBrel +txS/emvL2t4EoZWrYBFhrmX4iBHPrO77EV8rGuKgr4enW6eG+lVvdN6FuwdIFKcX0D7H4QyfUIXP +H2MFvWesbWBKqNNd+ER7Ju3G29Cxh80y+Ad4HgDlXvh7u7xwcetxoMPwY2dnGlb3OP4Cfp43pHws +2ccABwbvuvTnL5SpKr+fBtUFDQcZS3IoJGgPVfXgNGMAv4d6PBaSE7QPPQqshWsc4jsZ7zDov0Lx +XKh1UE2snlGRQt+qBxVRwSikYg9YHxKviI7SxGcpZ0fhLtXmTMmYtpxJcdTgQHZx+wgKiD+C4nxF +3tJ+8SX4lHrXg3WiRAYXorYegex9ZZrIxvWGeUgUuj1nK3bS4G3lIcHVarLTgYiZ35xrq6zcV4ps +LirZcaAGQ+iLBjeOGXud2ejE27rrUwCRwY8cOEKP0NxZ9H8TnnmkLHgmNO3J/lDMN8G67xoJQNnT +rY9h2lnw0z1JS1Waa4CegPJ/BxeQtq3rvG4OCUFG1CW7z3fJdjSgO9stn4jB+bz6eClUKVk4viLG +wLGsuFPqk8LtejLHUh85QFnx9M7IeKtwJ9JtZK5W2z8S9lugryHeiSglFcgn4kEKvGiSMWRHYqBB +/cfT/xmFBS3fyne4YyOwnY5p0KxY11i/veauxW9PSKludFL2WVz2qHxm/+HPoXiY3IdAOf6FUk+4 +wHfYNRIE4/e/pQtduzxk2W/A28vyeA0DYViBN6ZXD8qWZRC3qdzwqmZ2RY0UljuDG088FTRRadZM +kqzuwFM9ZMkIhU9xXhSF3DttX5Jyk3cFMO7G0+V5Mk3pYLHfWxv7e92IuvsK3m5c0h272Sz/vEos +4ixTFvewLToRE+NY+vqTipA3tMXEXHRocnVW6lSZrPcG+WX4nDJszGHYrO7MN+dybm3PxMbOis7k +hnBnse04Pws+OUbEgTeqsAWOoOyCwkcfZKlZjC7fzzCCqUhGpmsWPqlO3Gzq3JM26L74jzwYXrko ++a9Yw8xjusk/3d2+PZPcWGRDWtjceODSNiosxuvr/IIPmZwOO/Q0c6B79cTyoIPPYhxKF61X9LgJ +Cxk6hynGdrSIQjmgBUj5gPeLjhmCm90BNNverrbGnSVfBEZcxS4CHoQIrpr0BDq/nNSdYEnuQ9MF +O/LwlYmZeGf0ZvRXEPfgLSjeO7bZMeoGQ6n3NH5+mEnLEaU+Sr3CMiSlfJzWOZrqED9VodA+qaZX +WtWtizGJZOOji/rRtm4LYpZA3FwHfGaCTazTZsEqn22MlSCGDpkGekDomDV0ihTtTv5teGxqC4VO +3duFv3gRCB8qDrrV2WDy1Cn6IPqIBU7MTbkxxitviTzqLz7y3nY7FJuOX+DDAlbvWbs9o6zp0cT7 +E6w7LUMW/lq5bqe/N6kP5oWtiu65lGqekpIaquAS/fD8801x4CSN/rSOJYh/srIF+e/lnhC8uqb4 +XxvTlc/9QzRplbY/eEGQdumYgLBg9jB41mhyScsivPBcBosLEqgC0RGThpuxulGWUWmrTdEdrCoe +YPetq14y/gTcONHZ5DzjrgRElero3DXciSV+msKH37PdoTVZVnF8hunihgRZfXt0vrjSczdhzxt+ +9jke57OwyznUFv0xMQe7mHh8ZfreV3RefI/o8WnwKybYcJ0GwDFxkLjfZb4ogmFyUewmcWvJfeH0 +HDZO7WgxCVmCw1NyAlSqNxI9FTXNEDd93cbt8sFE0mL2WJTgRbGODXH6tLR9NDBLYYfOaX7t9UhX +87dFS2cZdMvEVqNT4vz0wMGidnyfUVoJHpCfQLUWjZBE9/S7UKGTrwrRr36aNMF7VKVxp6wlOIum +d5UwpzEtpd8oLFQL0cQUEQWEGMaSNhEM8Ddt5y7PuNCw1ATyMytsflOd1Ljcq71KVTblquqlNn1M +jQ4CzuhZZAez8q7lYJVD0kKDDWgucSATTb2WR4iEbqsPPBw2twRL2aFtGO7dKNnVQfjhkNBx+LiU +iW/0GZrFqvjzdklpDxcgmVg7RgXloT3l8WxxQUFhQ44/lEqLZs9f8CJcmtykKPKEjN9Q0eUlOORi +PgUXUa8T19NHhf4ecaYy6YeB4nuSR6bOp+b1f+gXxEmvmKWAZ93ZgQZJBFEFUdqWavNMz7UqR1+4 +MZCq2U+HMqByRGX4cY4snAKOTQ+YuYzWJAS3dAgg0kBLeh/UWLPdDJM0IV5/NHur0eh8W9FDnT1q +PsjrpT9adx07d7+roOmjg/ZDMpvUBYKr5ryCdiIJ2KZMFwWH1MNDc2hp06bxN9cxzrFR24RbUxEp +1CnKmZ9Ogvq4Ae0y6T/KYZlJGerXIbeKQsXxX7gMRxEWcDdMxR/rB3HgySBIsYtvHQOmtJZaU1zQ +kgQlb2QnRhx46Ym84yYGm7+zjVoQh2tuHLqmKHL/Dqx5usOEezecM1QJqe282PW/hJHOYTgmxqMt +gwvG4dIMACg+Z10RY2VT1RLAeWY+lLZBioKlZqoGdVyFdHeyz0YoIZDd0/mo9CsJL7CqPTTpV5fc +nAyvYSXfJJ+7Y6PasYJa2IE62tZXLKFUXREdvtdjQ3AOg8PFaXrnrfG8Kwml/N2bKdPKXwH8sBpz +qLTFZxIaB0FikwrN6ff82O1v5dthQhbhIRLOYkNm/k1H9BjV8qV5v0EEMVDyBRWn5fkLlzbk1c8G +5KpufYkPlnpe2XMZ6F6buwykqcV7QoK4l4zVlBN+IQ/HIzHac/Haa25HPD1yCdQO5RQNVJYwJ5Bn +pq0o5eWdvBDpvG9zvhMCmaPhEHHsjDbIPNOjYfPY0ysIgdvy8V+QsgPMZOVVfewLdUJFiOcwTqXB +l79/6oZr9MKSzLKMJKTTntevrIZzxqP5xc+9muOmqfjn4mz2784nONR4s1NNe2FD52AGHtCEPQVB +OBp9MQITsU3WlUaT6xvOCX3UKr1ojYilzbppQFYpsT+pihRAP7KFltyY/dXw6Otco0Mf9Bl904I0 +UxjzYsacpHLmwOh4Ma5aYILzlm/FKhbFDI2o+jrBe+VdWhBH88efmJJGQih/4I3mT9VwcYsuEDDW +v5cOOr8Xc+0GSpsprOmqMt0IPFQA5+rT4XoNHW/kFvIJmFlNt1AKZWk2pHn3atmnf9lXp97gMnUe +RSApod8N3mneOgn2/MKwR0fdM1Od7Nq6fVTZvuklIDE7VGrsvcflL2RVm6GoDmCDWlSmbswXdLeJ +uzUcJ8bit/FhS9HOwD68tpvjyYfMkHfwkNkKZUzLIuJv2DlhjRB+nZ5w1jEY7hjEubzrz3VkJdvo +r9CfjxyXDqu0CD4m5uvpThvlogbHKl5sQYL4/R979+UbkW4QBMhAvZpKOlCsknezq/A8X6jvZsek +qbTdnvh3b+i5IMAwCnH1NbWee8UFzlO0kYZFhmr/LMFleLIqTvOpG2vN+CNm3yM6+aHoetUdbQaz +7QktGfEtWBO57x2uVzR0tL5Zy+LyKI5za2Foy4Nlt262igKtY3YA0QVQPe6ZEggVL8rYRVww851g +7eMCXc14Y5+wKSm6q8vmH7Y2U1QBh9k342zRobbZ/ayU6seQdAuMdvusPnbaZCq4oitbrPAsmNJ5 +jEScLbMcwcV4gIDlcrA5Xj749piOCofscelVDtqZkDw9kXzj1VtsFco5he37vrs3AMY5M60b/k3M +DVX9/nYQQSa9YVdrkFYEPB28/i7K6dUwIHEuVWdPEoGB4HNwlBoHkORjP0rSbdotCviZHuaNtxpC +4JGECY1OGz50NXtt9ZApcuCQKK9kIqJFhS4NXwFPeZwS9tmsYz+zhT0uAoYjHw2iqJecj8Bnqc3L +iNJcs9TqPOOAbjyq6AojkWZ/vlsJiirF6sp7FB9RNr5/8N8Pr3ZVtuVKhqG3Zh4z9R/j/XM/2lMl +Y62dGEDzmY22RwYDjRw8xyW369c0MGJKe3ae5PRx0bOUsetzu/h36uJD3xXKvj80bexvwCcHDuQg +4udI2pwW3WJWq+ru72/loJFdfbFhE4we+ZjLJ4ny3uAwvD5Xna63C2YHQzAxwyvWM5yDGfhLvk8h +bE5DHCrag6v2mYWFSIW4sEtJ2hKSqFB3loFGvxOpBE6yCSzjoeX6TJ1nxGq9tViaNNw3c/01771Q +rkhVs4Y0IydxkHyaXVs3uUI5zymuwrAgj9G6HUpY0xrJ8iNcKws/KlpesYJVhpgHPcuYwKTcFO/0 +yFOyvGWIDYGljHFJLjPU8fiLRl55h10yqZ/F9+za7knEOaP874LBAHrz6sNVVNX8VmVOAP65gSmQ +5CJlTP0RWbP3uo54KuX++Mv+akqUZrziK3dhUqmZmUtF9Y4TIkZESZEpUGSyHPJ80ijb9AzPgpv3 +TtqLIwwIeS/dBtDI0PptkaTzTkSTwheJP7H+hT/MqIkVp3cpQNYSO9U5u6+ZSBPNeD/ClC00J02e +FKzSY5gDuRKEUfptDuYo08C61Nb6qhgdq1dyH/yZHuQQ3hu5cUp73jmEXg4kHFHHO15Sj9D8LrWl +70j/Sd7E3ean62PhPUnKTNKjFHVSf663xTHYpeZShk8AW433v4HLehiAzevVqZYOxf8SWVpFrh8E +WBg+1FpdvnTKwW11S9CKmpqRbawf4wvGyY07pjMIaLQ9YoPHnjTMFrCBGS3mEyvthzmzltGHpAvW +x8TXfVXt9EDpaHfmygTPdtBa7vbR6mdJA8sXYhI5byYV2ihtDqUaZ9ojGqICPeAOEoyFg8exQJWn +yf/TQTlfZk3EycBmi79bil0Rp6z1/U3Mp3iFDZnlds5mqvwYKi63oL1qyXhquoN532FihxXlI6tz ++jl6dgLtjtmJvmZkO/2egtIU1ZAoPD7B3/iANYFMNe0TShzseFZprGn+yvMe0gRVg0g2Ys3IFU6h +v8E4kK0XEFnlCSNSd/gOlWIZ0uqJYPIlyJwtSohECrdTNUYIgIygnU22CS2JXidcFrgeGNnt1Xor +ECBzpYtuuBXPZze4C7e6WYe9SQIfyGmeFX87kKDsy48jaZ5w/yrkYfwdQNMiMCt0b+ruCay37ujh +V3hKphP3i0U1tZvDP4ZIHBHvWBQkcUFAjgSK4EoBmirXyK0Xdb6e4UII8DGp3TNRcBFQWN31b3hl +Md+TtUbHLSVqg7lmTXqsUUiTc8xHQRTp/X2sSTr6+XmrISdm4fLgLQ+nyHYQO/gj9ZN+csMQfLV2 +Y9Ti2BxIDzaBY+S+Ksl1v8Ff4MkdbmDBhxL+2zfHLPqoRYqIArTWZeSQVmEvwef/H3nmajnGvQUZ +jzf9C8BXILmzm1l8jEgg3BBuPRzLtC8cn7V37RM3P+e/AyyO/x7xnn+Ew+6CYdxH2v+0VXcRGNGA +SLwWAz+EpV6oi4/zN7x9otIJKehrWRXTSEBz4QzdoROL5//hJCk46F54asuor91II65Xfiqg/Zwq +3iN2q76tmFcjEtUanQNTie0oaIXoU90uTQ76bZVY6JT8CVDHgY8CwYAUjuK1upDyokQfE2BDgOMq +yTE/aOK5yoS/8mBQqzqTpbLUKVsyLzpe3KiMajuEmmJNPbHBoVoeb35/+NUus9lVdkxNedsIBrDS +Vu+Y91HMpol0Gk6bQsCSSRRlUoblUBE21iGpdaRQpuPE/NDD6XRV6okEI/8K75tdT3b99APen0Cv +V5DLpC2CurjYj/Izo4RQuWp1QpqDOvMKbRbh/HsmiSK7rjAi8+ym+Tk7jPx+FqgcdAckNXBf6l2j +utmGmuX1PcmuXqYNopqHev/Lzzk1uuLh0MHZCwLDV38oSV55wIcoMlqNZsNC2nVDtb54zFN/cR4V +MyDAvrEYXjaXlOK2BxwHZRJ1u4ye+s7La3kIOo/HwoCTJw0lTyIPgIi5WWorAl3SEf+YOJ2UKEji +Z3UF7tqlV+xAWk9BczESFBIhpd1gRXRDwB/5y14UzKXyshi9Nfq8nFyKKCsNAPkd0tOLNF/N5uUI +PUtHDpDxR/3UKUjFnMzAcoKxEPtvGXsCd+o41ktFdrZJBV4uERaWbOG1ZRK/FI2OtY76azMwlqMA +tqNOZbZsYCAyp6ikLmKNgl+DHIEj7GBGdPrGogAmom5KHhzUJ4DyXJhj6yIRepBP5WOwK+0LKBAR +ka2agExlhhSPgZ6pqTHAUBmWOeo9i+bnejeErlCMcj/ZqD8krF5QnehyKvNRpqGNguOGgcnjK1Ge +HpRXcWXzMRenkltzArHoZ06nw4KeZNTvJy6v0jvz0h+29AiptdfQ+KnFjOAqv3RIjPzzpy3dDfwO +2eEnIb8Fi9+/QYuCass4Pw58wR/1QTjASD9i442fY0SBLE9kUkehEujcI32dB0ukDFB2bXTLaIdR +09vFoQklUltsoQHj+WhRXX5dhkwGirLw42g7BprwWtmfJu74J8l40/HFAHD7JEBhpm2CD49FrY9P +k4/3qrY7azED1KwWrPYiOXq6qyw/s6WXhe4tbQIEKpLVAxiQHjJ2hJXPq/AEyJEAB+jv4UE+7Hij +mR/hsFMRCe9jT0kuXSjyssjv3wreUsdEBnnVdwTVBDt2XzMy+TUm+q7Sj/4B0zn0JCwKOOIRKkwI +r8YlBxH6KxO+kf06mgaSnhtfsGNqAmRW0rRytqMkvnvBnEJ6Aqe7AHpOkrCBihWvnIP8dOUY0Ejs +VrXX2NHS465a7IC11GeaAI6/6BkixV1XcIa9RJluCTPNcDRnR6+vbyLIEAnrLYF9f0ohKOGxYC6Y +StJk8g2yMlY6gaiIakVkcXKUgbS6U63Djy/JHqUWq6RA/wPRpBhE6a3IKpmOv3JnWfpTz4gMTfPE +ill9C5pF/J8XnzpC2UdBoHIL/JouAU0y58y56MWfuC3LgjIQVh3fuy/bKTsQcuMQB3sjLeqPxqPS +OOHR+WFRjBqEZS5gJ208hIRdaA6NmrSUYSShRsH3an1EpmF8euOqFHE4RPk3XMJ8z2PKXjlbOhEx +kw2qWjrySZbJItSJ47eJJBWbf91y5YV2NKg9UMbXmKZMhKN4QrI0WRPhWAURzd+H/UynHxAl2qhI +19eeUqMlqbrVcRMhz8okbcoqTKzHOazKe/EjaJAmU07fB0LsRDFHH2Jyw1BXcSKbiMekrFtM4MGI +O4McKGPJerW691g92rpZrBa2xAxhqKRoSENtR/lQ4/ejX4OQ/2pWzAQRXSqULfm9k3B/TDGAOpxa +MVwEX6/5LbH2lN20URqMwJW0RDT/neE27jLxfSvBw+N7C/ANDm7P8OE+0B7sSZ2xQf0rTRI645PV +eg2yfGFlFcLdVpssNd89Ixcj6GfLn2uiPTZ3mXQMoPs9P/c2YLjpDXvLRi3O48l+F5MKVH/HiSTJ +3gIHUlHbUFjWdsdx/1p8Jlcvv8BnkZSAGOPMZAI463OSF8xd4KZUTPVb2JCCnxw0IELmq7AU/Cyu +foELC2g46IyZYG/GBFMuic4wSZi5Rb9dxJpjF7lPZWGkHRTR1sq2dug5OVp64D/FxGiVx+pSWlLb +zuEJfMoi2OxKFPwz/SuzGJIn3TTYnEiWtzMnHMeU9Wh3LF4ygppJkJD8AbqcHzeCDfEUzvWEegX+ +9ws6+Yq9rDSdpjLAHhSB4MOs4k2V9uZ37St7/oZOvrnU47shBimVrJRFO4803ByksxUOA7kkGWn2 +aDSWOG/v6LL0I8CcBQSxpJHKgXIeaqrHysC9nsCMhwabmxtwN0PnwULWCGSbJT3vDjtJapdNgeNy +vVSWmyfTkIIgSOt8NPecyrTwm0vAnBQrRErKa26N9CIrBMbW/s3Aoc/4OMBRBSqE5Mt2PAvaNbfK +i7sKHz+zl+SE9nXx0mRys6kMPTM1dT24edWzszYkSEk9qzFze8/7sHU+ESXxvP4xLH7E/gKrhdmD +ZYv5XX1PWwQdOw4gclmp4xdKg72VkQfxFakgHbQQevPf6r9XAuEO4DQTgXDpEKUJD6MeWeaj/+I8 +RgB/xBbCLGKdgjd9/1NbvwMlnIuLlE2EVqbGAcM1qBvk+UhRwsuXiU21GSWLayh5SbDW7CMZFrM9 +2p7lT/2mq1tweuCj3DWHLR9KhY2JOxQk6TG/bvbKpoINK8OqA1Fjhf9IrvxVoMYAP6pOY2VtvOgz ++Rzii3iIxKM4IHQ485eZKWd0p8IJvdvHu7hS6JHPyKDMHkUEWtDDsXT2f8eWUZVQBoWzp33azJjT +RzPoUomRDyleBsv6fV7akhL6TDmrSGpfH+bchTfTQiWTPoKHECUDpAbeprh3I0fOIYR9cGjLYzfl +10ssHPagIebgrx3Tt8/GtAapwLb3qLORbFTDhKSgcp75Jggi9I5+6/KoD3LpV7QZeq2sM0ecFQ/6 +ptaKi6XsLzU1oUbUlzM+Xeug5+3aBJ0CrngncuowILQuD+mXCjuGYIAJa9FwhIRvUp8a+klzJeGU +5zJsviEnnznW9QE89XtLNyrudk9TynUtoztK+oJfyDpSyyoi5hPe59SMJFg6oOEsHEbZKstwxdEi +djGF+MwbQn0jDA0DR6s0s8oevMC+OCyMkcFlli8xQ4OMEMAY0AS9O9t6KAhqaOxv99z/CcZ4S7dI +VxlLwM3OLzsRclcqOlXlHAki4cY7sz68FriaaPm00yuIoVlQwtLQDbyp8xElDq3c+crGzx5wZ52U +2vg7eaJ7WVq+N6SOzHRjmnHabdkRikViyTPBOk4qdumPOYVNe3tLfvV4j27X9Mwv2gW/BWEKvruy +oxsfjpP277u68rEVyvTYQ6s+KnKXnm/IAzUIduTH5vuNs6MZFSZGsU8CilG5gs04CnaDBpvUVKHQ +DvVHzne7We0ABeHhUbZAIWcdmU4z7VzI+hR1d4cd8ELbfv2wT4Q5G5hpPeLz1lNm24oAduCSpB4+ +9NXvVMD3DOOMtOgb815tIgrSTTVgW3QnH2DJGUOXgbXWjRnVjp9EKSGHi9hPlFSX2Tqm+lb72i2M +kTtGy/a/GHB0BzK37chf5R++wacybgsfkS+ggpH/CiaqPbVZUePr7wSVDkdElwArOkPDJ2F3X3gb +7G6r9YsOEq+/kol57zegfwfY1HuXdrfBixK8ZhkUoHigzApuGtbX6d9HpRdLPpMz6cU9nit/Aqry +t9DNeHC7LhQdIvbU8jLDDNu1cp771IM3HP+9vB+xeorL2yeXINB8fVLPN9ZgKL0c85R6VaKlEewm +6dRE4cE0+NBXFlwFFOB89gSdgDKs5PY0BmC4j5FfJGDU9ihJCcuXXUQBNxgfbEt0p3tEVHNa7U5n ++7tiLeBreSoDhfovJTNeZC/Hc6oj4NMquG+DcytPAWe2vHaDpAN9u3d7MoGWmnsjR+eKUd7Ar0eu +rVBXwKiZ115q5PQqQiHshCLUlvAc8d5LFWeylao5GVAJvvBOrCtgt5gTIO07SvbFQEWoCNQOi+5+ +xlX7x94JtwsprjrFyFUTR7wTadnP1xBuHAqQpD0Cf7AgpD3jw1QlMQsSK4wVgEveF8Z+zimIZQ7D +egJaC3y+B7XUdwf9fvEXEoermZLtSXw6Tm768+YgyIRPzFALFwd7eTBZbKH4TsOj3Zf0ALW83KSP +4DKvgrOzc8hkMwHnAH863Inwq2sucJ29qtgagmnfUWU0SdjX+iIjOzE8CVGLLpMuLXNw6AuZ+uvG +rE+ZyoNYulU91Bi6AryUjCTJdEwkNQeXrjTHCobswxRO1IAPd7ko+LUMEIcTCacHPjI4u1D0F9PU +TI9eBKxKIU8nYxP2colsNIg9QGeZ6MmB4Mg6lJYq+HclVqdBIIY2lpOEsN7KlVv2vhP9jwkJVE6y +/34G/Jq/oVtZ5VfocDYBb8BaEBOArW39H/1pw/aAyRb1t5WarBtKQkj0vT/4dExyVVNhhRiCcmUa +t0r/WLtqxqIYXABehdUXGODJxb9Zt8Y2MRNSfKHH3SQUNYI9uZHHvxHYJRafT/p+1eISF0a/QOxY +Ye1Wupo0/B5Stx1DCa6xMJXE+OcoMVIyCkSny48kPdXa0zCGS+3CCuKlp5b6WohizHySFFShb+Lp +fSraDToqOppuGxKHngmI0EZm6ApuAp7g6udguVGh0r27X1+GjwLvQA3hJwWIYSzHBEkWAEcbT31W +MfIHBwzAOPvCAy3A4w/xGlQ2eZp6YKe9YYChcGAGx5NoI3Z4OuxEPjAj/KEKjUGlP2wR86Q4wh6J +qBShoz59DekDuabFuEOnDprlXVuTV/2F2frXXvPB64RXIi5xKLKWDJVZ8JnPrGBkqY73behBnymr +7rMZJvgqtC+mI9ewShl/mvqr02fQTiDIt0qpG70fe5KVIZIU03eHhozJ6XptqLOyyMgqDybQXQP+ +4M899IhcrCa1nXj9vSP6AqfXEfRLVvy6jiPXrSFv2IXTrwjJ2ia6uuukUBO4z0LhW3JcKwT7ZzTc +doTsFcr3q7FLk/CqBpQS/qRlZvQZtcCwZHG/+JIo3STa9aOSBZuvzEENgalNZqtFVqeKMBjNRiew +ZRjIM/uWS6frQ3zPoONIQsObAxVsbhdDe0QnP1M1T0ZaYqNJESemzGzj6qREMRc6TW2CCG1T07tg +yAkE54/lUEIL5HartZVcpRw5p5q+3VyTwIB6hnRdg9aIT9zbvqJ5HUfOziGkoSZYndIoLd2Z+MCa +W87NRWHh93numRmSPRHVX3y/rc19gBBsRH+GLjTJ1sZbOAuvIKGGYTbn6fUjKrlfuvZ7Zr3AmcD8 +lIS1jgFXUPfaEw4M71E4Hx/vr32TybGt09SrPFRQoaHZ/9wyXb++YeQecqSkfbK6PVsd+HcZYXtl +YGNgQMtOTBAFgJB8l5v+u5VwJgPs2QPdiD/8QfRMRBjeky/KLdb0fXlHSqX9vhmZ++/MBHJISVt1 +OA98miFIsrstnCh8QxRCUiAwEyyJltqNijEP7AiRGx79IFSBZQLyd+TMGfe7XyPnccyP8lsl3ZSG +/JXwn7JggeSqPStamibM70wAaANvmOzSCfBx76iI8Tmn11UOqLwJoKEshzj8X1fm+g7d03CiGfp5 +mNb9xSC81wea89fTXEW+CNiBckrNPpMSRhkNq0E1j4ltJ87zGZS5T+cgWkYoP8dVcYwGdGesWME8 +rakfknSaAB5idMvr0QhevNb1lnURIm1E0oSxgsXzRyfvgHpRSM4wPwk7TdJk2lTfL8ZlA/Tkjmn9 +6IZX25LFL1yl4xX+2+NYtR+kBsFZVV02Le1MoprsWCBlBXOL2QTvW6+2g3e0Y6Bq/mQOxkO+fi8O +bIdaSiuFDYqmcxzZ9gR9iLPo3P9BVt4CMxKrVjaM9InXFnSOj0IqaZFBB/Ag8hmHxXOgrwNd3Uqk +VPjXjoTFPQHSz18LK4YYKc/nvYNJOUTyyRyS6TPAJQXrjpmei5ZYYIVxJMkN7oE0G93CzMN/IBTY +1WdduZ62Www/kWCzGAcnf/5CWedhvB+gK2RE1MZW8CcXNbidHP59y4SPaXQCCRTWSYJVYQAPOBu0 +B6rs2B8nZIALWSsGUcjFspIXFzimwatvX2KMdxeCcmVL0ald29/3i3naFEQZ5GzQ9h6TraBOdbmt +3kvVL33XZnYpNY4mbTfvjkC5VwXWLqyty7/C1zY0oNfWBnERmq7uAZZG4sMVDI4vmdh51Zl7s/UE +IiZ69HI0sSSV5OPGTCjkweIU6JGPx2kn0XFXg2B2UnRIkjT02qfMerJ8S+66aYDVJKDO/OiqPi7r +bnhL1VBleTS9DwMrTLCpqWRalEwjjVcT1iik/UU3mUHiMolcgM5pZsQWYcBtMmW5Pg5vl2OOdSaE +9dAwAA4dHi6BVyyuy5a4OH65Hj703t+Sxmm7sxFOEq4WA62cra5rL3kjMDDIIlQty6mC5nPtrMGx +u0Cp5gJ5sKZZAvf6j+u29dKBh3EhdQr6NWxvFgwagr0wuqCBUV2egidjAYayfh/9Z8bMsUh+gkWj +ZAzpcyhcvDXdN5g/QPKhVTB1boqgQZ7YbfM5IbLHL+vrFk5GWKtpQJ7KXfYS8MJoxStHra1yBzUL +J41DtSWyxb4walzvp8ybkMz3fD9+h/m+LO3uVp+YRbSr+gJDBHDioGmw1PL+O9+GzoUQca7XjWsz +22zdfj87EUZktkWx8CuLPVPs2U5XGDYBM4Xnvkr8x2LGj6CYeEeuKzswNtOurImfHDwANpc9jRHq +m2XfVQm5q17eK17AmoeD66cPGEF6Tl3iMPrCz9IpeNrPCybNMQ5iZ98qxc0cLwToQlB3tV/+/rOL ++lSYmuOffAcKSw1aZVnMlV3xNHRs6nl1DTiDZn0EzkSzoxSVyZzppm/JEBS2h/WAOD8DVohxCnKQ +Ee4LE3/e9HsVjLAB3820HtoHoEPfqw8WrhciF+nm+tAoUOV7csIZfVHmpC166tKVyGFTSA0XUTYT +W57LHNUvsRsg/616mA8hCtVe9CyIrfZUulX3UBAuXou2xpCdAGzEDt5D/qJUxkJ2KF+LzpvZFpHe +OLbiQI7SBWiYnbMNheg7vle/eYks5cXYUeIIFvEBjV6K2BJ5xZ1+X0smFMe/t/mBnzWXfqXpO8oG +yVXx6k5iT2yXcjhs8Mfh37hT5Fq9BR19VLuu11iD2sxhUqgbhlc9wVIjz93JQi2+mgMMT5oBtXsw +2IR3gJ0QIfABESIBj8fzTz4JQSe2JYcBfZTFdDvh8p61o4YCnFkjJLGi7FFiccfWgBqtPOEobVUr +4C9mQ8i7idUMIdI6skzXEvTLpgamvDBJUyqiBqwU2dQ1eBNItU1WX5ww+y/iUZemy33gzmpiIU8y +EtFejDgXRqBEG1GPXzhTq2TZhcLEYiiY2YrOIxQmQtVgeNtugObP5Yv+ZyO+YlTSNWXkmHRps0kG +u3bIvY8k8TE3YmjRla82M/8m7QggSW/2VescaqlpAoXfJbLkpJPAOE1uclUHKQxyfcnQ91xvrlQS +4+X16vDKXe7u75M0xPPHBqxK+/9dGYi3PT2Djt5SNMYokQ8wK8oiMzorhpmo8U0ZTXq2VLcf8vwk +pRv7Xl1A/211mkqiU8ckkpthv6RMj9IIJOcVW1GMyfyoFa/VB/D5UMLYA2d5ME6s8KxwtIje1jZT +fjBGdxBzyDZMbYIJ4MxeXERaz4EWno9Pt/Yf/ptjlvVL+sEe97Tvi5ybVqBICrFZ5KGBmSjOKzOD +NxUC22jUsSO12vE3SvKwdpMMBtczyZqpwi5vWsDYW5amLCciV2gl18Emy3dxeeOpRQtIAeG1/wKv +vJP+0kohXI72z7mzhCuGV+/BdPsDurorqPUGwS7vMKghpsDF0FBhPjSHtlYpDdLFtOYUIBE5Ox4/ +G8VCzT/STg7/FcraafSzBWUXPqKlS4mVKXn6C0/fnFrGnnulyzt8RH55kGEwsOmmd1xVaQf3AaG9 +ADGYSFXc+JDRNM5Xk1R10IaXo3imRGZLCHa5rMlxF1ySiwjcGXAnUqQlaZvFRDeiOgLA+3698Jsp +DkEAk+zae/Wpn0uLt0QiGzlOC6u/YhoZnk0AE+MU0+wsBVFgJJeouChE25WeXxsK4sp7ECon7/mA +g2uXK9sPjm/mXLNcJpfaSh3R8uAYDSPS73Rps/dEHUnSRnhkWtJib6UCxdQiwTZAVfYz1t/UieDH +l9XCylV9jMTLPTAavmvvs1BrsOjx53n9zuvpp7s5ThJlgA2bqPiwaHiaI4APYBYCboN3qH99CxKs +CPheu7PKchqGKmqiz3eUYuKIK/qfvJ2b9AUm7CLv6jpoTpSBHoJWXnarX0oFn/1TaI2lhdIYUUO1 +3s7Z2iuL+by7iDBMAEV7aViboH3vaIFp9TGvTm35EQkHet2h8OuIBm7Bh1x+IbNJ4GLhbn0W6FEk +fWee9zs7q4jXP92vrXHD6nvV28RLbt7ntkmRa78eulJteOCiyFJmSnjgDYGTkZKCN3nRKhLUflwj +KJYUC1yq7vlmq+N/NVexnNbU4S8K/IAbrEwe7UiBnc9viUiwffN0k+7Ub/HveOB7ku/VWVcYsdvn +6kjbiF8QYEzmJF2sPEHBE20KWwX7n/Fard0nniSh7qtpunHjQFbIco3h+6VfPMUvpKnTO+LN+C4F +UiD2qWgbXAPh6ASAPUjfjNmaovpdrveBUnyHVYfc5FU8njIAZpAmhbORd+AojINBZgEcujh5NjbE +FXEWeIUP2wRey5wAmH4/4zXBb3lReTvZGWpMTlBQjKCQnpM+nLAkO1KFocpNJIYQmjTnmNVgMLIh +0OpnbIMc3jQ/b7h97lDWXJeY5QH5tBaGQe5n6GrT1JmGM4qtHQ85R28vnDek3TE/dzyk2Nr+5eMz +H8rQBBn6ExyIPbf3V6C59sNCfaMpnOl1JuM21pm4prnWs5QiU1lLQIJCVM4rKubSzHETTmjt8GHh +d8oRodzsqyqhnatotPEhNbA3PesHzW4uXXcUx1jTJbPU2KxAPqYrlQLr/MwMmtDFZqIGwi/K63Y3 +ShNjZsH8blvL42APY7/GbGbjUd9cZZwHIwJPkRPV7nOlg6aBNpCkX4c2HEuVz3HQuVh/yDuSLsa8 +rrH+KUB6dy2K7ZETRgpPIn4aoJEH8pTJNAQOhGS7Oi68XOAKB4yxIBdoZxZsc99zU3XP/OzlLwkh +SUkf8se2pKd1Avudyz5AFfKku66nr01fwVoyEeLbzJw3VNnP5OrDrzF5yuJK+AglpW+p7VHU5LVo +TeQKydBdwzSkNdLzAxgryQdrWzxZ0lh7baeai1MIEQfm45Z38TY4NhkNgVNyS7sKfeLcJI6xCm96 +vp476blN/H54i3vvAD6pwCtOWiiH9hHGqLQwY/V6MujQe14UCXHfqMVrLIAkeo6hy1QEoDqHA0vG +TXVqb71/3D9oOj9xxs/dFGXaC5516HcQ9/C3HPYKA3V3KlHpP6SzjPDYwDZq3yde04ZmxRZfgb9u +EYq6WSL5yv4gNCPmO+4u2TGNZkH6NEepQ1KqK9B3Yk8pSqb5aMm+ePP7QXA34OlveAmoK/L5CVDY +ldc9/uWzJx48BRU7Vvl5za8ZkhCztkgJaoSCg2WhfxWW6cNLpBcZSNEzStv4o3rtW2Gxx1K1iD0J +1M5CaS09hu9XZU+wPyCS2YxHU3IVqRSngUoaDPNeNvf07j0VI7DWrG/zBSUYeD9NrA716ADocHx+ +PhkXcFjv7UuGMBS7btmyxDxmksA9FHUH2torvd3e0HltXVSPRVjPMYBB4iyuWUPBK0N5gXn0whSH +OumVURreUyv9FQ20eeP+Mv4AG+PNVyLC1oWE2+B9DFm7C56XmTc+IbblPIIIr6sRR2j6Kkkg+CeN +ACG3WxTLDeixJGrBdDsM8ROgEoBL3RmXbKeUY34pAU2O4JFlCF/tPqO42eBIxYFld+sWoSifTGnD +NuoW4iXGzBAgmVw8MeFE9PlEbTu0gVjSqmSf/OIQ35duPql+YiQM4mW9DIpLMa1AZgEOdmJfW9K1 +mTp4FP40vrLulv4BtPNn7QNpUjI+zNEi8bFTW4X+EfYjFnT03NhI6XLqKJ7hpPqwlM1Uuid9t+XQ +ZBbvM4i07Kboc6jqkfMOBy82atVCbC8WCj1VGz/S2QEwQskB1YB65ELrRDbE1R3tpNTnMtI/l9Us +D21dB27G4t4go1u2Ab9XiImGSfc6ux4j0Bz1RRk2yGq6Zys8qbqixGLdgMlYKr9RKz3EPRGimj2E +RIFzz3Di0ufIhMSDFQibs8428evpkJb9FxNVO/U1FxY4OXHoNh9MQwqe0FoDcpMEB7XjY545ekJz +tR45rdioS/5Fe/St6bNYIzWhVyo0QMyxtTRAzkvV7GBMGJfAd+ObSiS8OozKZ7+UB9c+QZhEiZXi +qyXUm71IfmRcLkbw/clB6jdyWZCL2Ceblq/r+rsPnaqzD0mhiOHGdBsQo/hNIOEKKSK0LLLekoMj +N1udwqBR5Yzuifm66OQyiIpwjpgKNkMc2R3xLyoTRvQLEspSmM/rLRGQvokuNbNF9wpjrV6NWvRG +wXK/8LE5t6WRf3eS4NPE1GUI6T6aJgUBzhZsGHwNGxiQvT1IGvROvX284C5Y8B7xAeEMHJ6raukn +RrtbD7gDEiBZJL5Bgav8BrUhUfmrRxrZEFkItTpagYDOAedCc3OsdCwFyxwp3MxAUT6IbOuwRoRc +QKfV2lrf9+35117eMjZ60UoaO9uz5feN+Wl1voLqLrsGCurg6/gcL6yws/8VRE66BQcCvKO7QGN9 +XdKaIsg0G1EzuTKoXKAcrFQC/TuPn3/09Co/beUNgOjmGsOKRg/4kY4tGkWgxgyfJDuS020V96xw +xOh1cSBGVWjz7xAeNMDDoTPwsklfuy69EzNkMsxp2U4U3SBPX0U7t3vMVDoZ5DeTll0zJmSaspr2 +U40SfcokWGM47FCRcZOYlaVxNQtzPNUehEOszy965q7/H/FKwiNrtl9HXtRJV/sZXTJ7FzuTeLIr +sTcWcUQ0LhmadV1UKyJh39Jegd64rpZJyfeAetYBAHqu6zXVa/jlzAYOULNBsmrm6qL0Ts5E1XdN +mW1nuKsW60BadhPviZeN3Xl44IBJiSqolR35MswuL86asoO3fSL65DYB+VXIoIkKZw7HHCi42qGZ +x+IlTL9H+joFx8QgNqIEMzD/JGUkGNoraTLb6ckBwi9IY1vJTUxKs8GVoDmyvMvPL6GtOYC44PoJ +rAkjyxVE1q8qpctVy+cl3kg3oYWqRIY9AjHtrjFEGjGqddWNK0drbGSEcX9o95jLwJD31PSwG0uN +T3VKtK7T3Quq9gnQpl9krTY1ULMCUvsolM87EhHod88TWipNhD6+hCGYPjSUtuj3PrWsWtaUEPP0 +68TAdw23pOmE05yMA5Hx/0Tfew31lD5H/Q1vFNljisCh0Zqb46Z6NWULGfjLFo2aSs98WzgMbQXv +dEj/o46Y3udA6ceZnYS4nO73oJybGEnIwdFs7arZ95mMf/S7eL/GXCSTDSYfTLjBdh/lKI5PgRdK +UfkwdauI344VNO98ZseQJfZ/e+hVjo2tk35oiB9kgDwCL1LIPluN5agenZ0RNtH8N0HaPtZqQs/6 +VGSIt+rNXOIAMVt6Vm+NdibiGmTIlm9L8vr1rSTq6GfOUUzRWMmD0+aRVNmLalV9Zxc1rdPbHOHN +mr35JFbLKYGu2GhTtHtmP9RG6fhU7GdDnNBeX4hVbkmxrRaiQ4xzb91bISZ1LeTvsG8suDgmtV8X +UcXAaP7xwb9QAgGj+ZaBOhQvT4kcyED0ljYoGkhu2uEVjDPd3oYkk9V/32z7LCUQO7AqRE7WIV4J +Q16dKfQ1Eii8Q6VgHQ/RFk4ddMEN7zpPq+5lG6lKXBQWE+F9uEoXqNwAR9qgfSw2Ar1gf18YMD64 +Ad02yU9ueb03u1ie269JEGskyKFBW0p5CaupxM+dWqYt8+mALfn6bu6aa3ywEmInDd3mls0MBgTA +oCIEQAk329Vhl1xY7wI+Rf/JbnuB367qKCgsfcW8pj7o9N0T53KibMa6BAPZn1OEV+v7u36sxyL1 +H/Jnx0ATDDyPtWR+5Mi9v8vJrUJuXZGviHYlvXv4KdIvt9DY4XJQ0zuVlpH/io+RCE2s+j9wQJbi +YY9dnoQg7wrRTiSlD2idju7AKxA/nfwgUdUekaB3Caw/72bArmgDN+eZKcmaXEQFKgy4ZiYYvIlQ +/dItocsFgAP2h/O00+dTpralhMpZs1XkJTCH5LjSna6HZNIamUC1Mr1oMdWvmEMoD4jMf2O/RLh7 +T0sa8O7qsKSLLOwO4jq9ZcOmWKHNN1XtAF6CZnPnd/TK459jF/s9uR3ocHoPUAA3K2svOCiBviiP +q+HM2Nna+BhJKvZvCrrqyrFdKZK+wkWK8JYqDGwJM1Ic2OnuFkSVDrLwciQfMzaEf3vurlFdgDsC +uhNKvPzO7a9UlETyz6ndm1HHdaYTLkXJpET0DCOUTVYJYdaJYpnyqwctCjLNIsmvOPCtjawC3PuA +xXIyx89lMbZKCznIcWPjsAyYhmUBH2O6OB7MCm4Q3HXA7+Dv4rBpsWSQfahw69J92l1et7wKRRpm +njUdm5N+OhlY5pN5jRrCBqVEebNx18NCH3eqWdIEl/QAsNJ4BN2XiIXvolx8zc7VYPRT3wX70+kl +xAJx7VmfEh4wTyMj42LkisT0WED9mjIgCyHf4O9RzG3rTH8CXlFFVUL0wY6esqE1mDAv7G9tb0HC +dg0g8KvQnmM6dYfM5GD+mDi9rjNwXkdsVdoY9oJkhSac5W7Z5uI7bBg8WEcxb2FBro4+qVnfC5Np +ZiCyNNre/7nhBAUEQqeIcEPkMNx6m+WbtM3gj82rV/VEbxDjXNZVzIs2/KLhYT16AKDrUV7FLqgp +EataQicLmdknhDKaWR+lBP2MeGF2qbPspI/n361GYfjNOh156jvbppPUcTQOOPVgPMUAqJIMQ52l +PK+EZaXkxaQlNvRHy4NV9aheBD7sFi5b5JMO1QGFWjfmhquXGKkqeHroIMC4El9KUWzvI/z+fwn9 +N747q47gzPkhcTCv6zKIQVtPKuvknEgdBF3MlH84N6EUqXdO2evbOABcU5W7Ro4VJDKmeXR3T3f6 +ItCmmpoFOjx4DslN/SLC6EEb6g85F1/5EgmlbMfiRSC22ZJpxOjxLc1yIYd7jvRsEOgpgEq6txVE +3nS3vKbi/E8BWTNrjggmoSPAxvjiDN6p9Zyb+TopRVqlzLb+lCllOGjRBn2dnyELbKoli9I+mJYE +iA4JtsQWSiB8wkmhM3LViQnbLHNXky0F7PHfw8rayoJqxFA70X22NojV5RFuABaua6jbxJ2r1079 +0rb2z9oaHzHoJ4HgT7GI0D+QDO1edtGTwVtgWZPdylCzufBRqbJ7H33/K5dOnKkvs6PaTABKaNS9 +AxAecx20V578kzRPWLaEAqcq2tEzwm0RsxnVNHQ0elLAKNq6W6srvV9CLrgOHyDvV8/oFPQbxwSK +JBJD+FhbTUFtPCTCJC93Qu0O8jgu2zGDJ8HEo+POqNwpl4EQVkIXWIjpdz8khpOewqiBtNF+Npke +gdIs3kpdDl2AF14Ay6Z1GlCZipnxFYIrR2hocVQjA6lGU/nOPwCYaeWAFFFrjCxqVsI6Ul1CTvEI +2+h2aIsN0VhGEJR7xoqg+JVwdTwmpmS8VHbhmfrlFNdn8xrWe9B1LldP19LLl4yBoHSJIpSiCRKQ +qyPi0LDeXOhzuoKf9+igFg12bqdqsc43YFYQkJBho8EWzZAqepd8dF4TtlAc7jKU3mDl6yAdbNPB +/DpaMxcZktxGBH6YKRpaen3cqY0LISyGSi9uGVayxufsHIIB9Iihat61GK4/7m6LWBn7BQ5XLTO6 +4Re4bP0th0u4Q4ax5K0rFdLsh+3WXStKSL1k58TbmkSo+XmUnwYuqmlf76tylk/w+lTUsQGDyJiy +HDgWOn12J7NoNmtt+Sq2BV5ND6U2Dw1dLC6FtT2+MDurnu4K46bay+nC4MdtGqWN+w3rq8bPbvWU +1xbZPu+u9EEy7e0QHDJbwobML8PxLvn5DhRlbeh5ZY6FiPh6ryugINCqBcRBmcGr/d2+QGtJd79l +v1WiQeyltOoACPgp8VFQKzksMspIGyy6PedRuwWovgeV3u4RDlragVSKjzlKshXX5MjG4ZdwxtgK +ujfykaBHef+CiVHZswaJmRtr0l1jthI/9xvjdjyN0s1Hm4MPTJLZ/XGMOZdbL+ngBlqP/wuWbeCs +/IAa/01FR2UWnDrKKOtCFQbIvDwQ3zCHKTsRLrEEJFWdwPKS7/kk1fe83Hn/llX2vxU2+hlgcXbu +LwmvQntoEgkmCg7/Xq4aZwxvkz/z7s+iD5sw87hu7kkKI0LvoE6gmZPioS5Nzxz1fcs0DwcdRsEk +2EjfB0Vnu3n/QEe5lO3aJH+i1yLGEzOLaYzUbwZWIHpqtIt2NGDNq/ITkddY6+54Rh84aDA/ETqy +SAIshCUB1qY1+Nyle7sslX5w/IYj/KdjxMquFI9DP+9D6LDIuPLi9P9W40e2HbjIvQLPm3pXo7Kh +fbmgwCmKldOgFHg9qJe8jujkeEB1yJJBw28bIALIEInOnQRzXqtynYY7KbHBRvqA/6RMgNOV9oIS +mOL3dp7od+zLvyV1hCzWHFfih03zG56v/5vsFZxPsUf11E9hQEpVBcqdwBsZP7iZ6o2iKwFZ+C4H +MR1fZ+hGj0GMXVO6TuRFJYdEQt+PzKDU0xUFrSRSJK7DNgYI+CRACN64iyEKaD+kMUYLgKsmv+Xr +akymSlOCh0UXEKOZYz7M6Dj9Pm/sds1c4HJVNwYwOPfcXyzPkzeg3IQkf6QA+TgcFRn9B4YZRT9l +UUONR/30HH2L9dCrYfLJ3EaAlQC+OO5rKgYBHaCDY3hJ6ZNXdxJntAwbdKFskvWDxnHGaevuMXvl +PHX3VzVPHtPNxSqOmnq+ZhImAJrEN0TA5IqkavaORqIQbhqQRktxawf5vCwsfXcB6nZbBSV2hJcB +/usVoX/XuWYwAgGoPXn8pwJ0S6ngsLtK8C+jwo5c2zX5DKhqjayD0i8xXPFlWDuu2nlVFohqxgr1 +56ACGFtJG1E7iwu1GWOPd7KNvnTAuySgiAWNTvwxDvw9fraEeHF4evO4AhLS3lk6YAcXEcCpwOgV +6tfOAzAgADprFeiaaQrFWaScNgztcxHcExqwofWj8G0npjtWkH+2kVCNY6dVZobsXERlyIwDb8/z +0FqtxVpHiMiYUkE9Bp6VIKWelUc1RcRcBcK5uP8cROj+gMFzugW6uEEi78npZPNQQI72QnQBHILJ +JwPaq2styNnYFZ8rHH9/IYhz3xFXBTGsO4NoTToVVQdjDKUHLCkj6cf/8vJNMrtr+JfM+8yWuaDi +iqWX9G1r88C57CVZgIrQ6HQvIVfzAi/EuMVNy56fpZlxlH3p1ie7IW/brF6lDlAraMi3Vx1pIPHD +dFlscMfvTdwKvXS/dJMO9GRNgX2YvN7R5flSeYyHumtjBUm+cjBn+txyt0MAOmExz0JuHlM1FUOX +ENf70JgJvD8ZQs+koxCAhEHapsIHtv326DVTMdyqmNWY5AN+7RX60cf/dBVCxgz4gwyaNIat6fRM +FkeDb9M2F1naYtcN+nIs15E+A/szNtoBm3rJNtqiSyBzf7AZhKt7vK3WHb6Y5/WKC+ZtkHKX6Vzh +465oV6GP2P6NXj43AuRu7HIjFmkESAPgYqx61msb9XkFWLOYYIHtybeY9uPqqubEiHzXYaO6ecnc +qE7Jkwlhv3hpNqw6n6cf8S2RJcW6gcJBiKRrgp79GEVtmtV1eRhDik5W0vtTqsYBcMcP3UZdsZ7O +bqdvGk3CrFhR18mcAXaF0T5qKMt/f9ouK1lqcfqObFDIRc3mkLXBSfbn/SnQBkgDLSgvWM2tBk/Q +zxvQb4PmpmnUtGIkL9VxkmfLH3GD60n5nAAULsz41qjRSyvI057TKD7mbrS9Xj58QTmw37fB7GJj +IE2ponTy+GtgVbQehSHEuTAF2ws10HuYWimtd9HEFEL+Ral+fJpcetDNtXrIc7jWkc1HIoVx6vKx +tgYQpgC6G7RdbLm2ff4fln+gQuLsBHZAwDvXhWGH82k3JeD1BB4CGMOQN3uwwjRhRa7gI5t43GjL +4co+IBE6vtxoSHBEmgH9EQbJU5MJ64rjISptnDWCB6celn26nq9T+4BY/k+ChbKAVyCDWCD/1xX0 +m+7LHXkRa0BUiiyeL/g+jw2wD6JEWULU85WphfBCbWYxbCDIXyPl/BJ1pWGTg5Ai+J4NbeWio4VS +d0QpztqzAHRs8/+1onoT0rmGoSPjURKTjM7RDkUhGNnpU/1klhxrAQMhQuvuCEpNcpi3bBnfRvGE +OUNUha7O2+C8WT7RYuPSGL++HfgEdEj30TjCgCtRvRS1lbEESs6+IE3/0BWGCkql0EzUD9h8Luei +k6P0yXY7ejG3Mkw/bQuHlO/kfC7nB97h77rx4X9bb6OBhWT5MZLez1Juen7pdF+B7w+O03ZGpfzn +0MgKWsgMzBWgKFjDoGDDovv3hsbujA4N4TQ1AMpt0nKhP8C7lQyWvo6mJjdNwocFHexGqK2uYOZR +r70aPrCn8sQeS8rVSesM7WJ/y7Xqs3VfTelWMbXuCVMnRg6iBR31e+8I/VHx25IKLu8snxuPnVLK +1TcfqscqeXSuD5xo1MOWxKz2Da8wE5/lXgSa0hyNrz/tBGl7wNFUxpzWXqfqoYSOl+PXzTlU1RWH +Q8nvnhirWmlUfGRqLzZSpItI2NPSeOtPmyFt8v1Ipuzy9NcLdG8grbo4drOAEaD4EsCvfEiUPyVi +4JDKq7QUUnECoHUR7S9VXsiS1PaQSYHpEsGn0zmV/GBbAPrkmE5cuHe+rWNTLHQdoF09KaZnE2cv +Xs1eo8bDUwnzYxt+5lBBgFNaEBgK6HkBovDiv9RkiKD3BgRAgmuerfOzjOkEwB5xYnGf4SPXStHq +icMaa7kAUbujkGQxPml8rEqtRT2z1oTt2ru6lchabD5elLMglqec3K/xZmT0WyO9FSVAnSGIOFHe +Z3ERtDBCPFhxghfJass2lWbAzwTV8JItfPLDzVOjLTek+yAiR9AaEGL6IrWK1E0r7rHdmwNnsRVy +jJkeed4HT/wJlSpQV3gdMvtfZa1upOI2LsFAUYV3qPb8zrFcgq0bRcUs4J40k98U3xKLPHjVP6Hh +9+P2vvFvDcuaqpDicivzZctifgaIe7t6u6lLaEdy+OGSE86Kv3iVWeK/k4d1QTUrAj/ypZnhettv +juRJyNJf7iwUw/6Q0+JP4/OA0Nq77Xuap2k8lEFz00Ld/sMICvzbY6jk1bJx5cwAvtqX6IniYGR6 +Wv9y8CCGJ3moR/eWodo5i8a/b33tO3ILLa17aFN78K+ySxHnSF0NrPQTrqjMBBc5hbiZjQlZQp94 +lHxtZjEqZQfD6KUp0/Hs8cNWKAacwD0yRhrxbqJ0HlanexQeFES99H2di7eJfShIoD9oXacFAieR +vZtfuiltU/x4Dp+tlYgqstw5m5XmZwaSP57gAthlyMD6JRS80a+x++WvqoTKi56ACBDPmpF35Hq3 +Qk93ATPJKGTrALYUSIO67iPDXjx4Wz7hn/ylq4Z8FotDyqvE7YUw3o09mtJT7y4R2PsgztOJB3Qh +PSJ5HCRfBapNc7cJ+v99K1r90eb60F9Bw5I/vfTyA0VJFKbuu7o2h2ay6CLY9sC51DdJ3/q5IH7p +dlh/KQn/3z/Fe1G55+FVF7JV1AkklyX4TGLcgv2pDXPMO8+rlK3PfI96uMWk7/tgjY0361seZCRL +Qv0WmSqxnD2V1WSEiX6Va0v9jtw1MZVs3sCC3MLFTQyKMSnb/y8ttrA0eLU7TYWn75jmNBQHbCvZ +93GaIX8SPvQ5EOF0I1tS9QcsPIm0kkc4DM6dre3DtKiNly8r/Z4u4HrhlYkOlfCcP3Cs/Xo3f8pa +HFQ1e5hWAl4oo1PxfY6kaksK0Q5UGzz/reffD4o9ucvHzOA4cyWyO/o2npiXtURKzXC+1maiHl5h +u500sYkt08eUFD2vUeWq/Ix4t700BVAQR8CKqlm8oqIHXsyptklLIrKwhNURyOL74q8r1p2UAjKI ++nMQIf+OVt08KQZ1FcZSrxha8oNhd6ASzXXfjjefSE9tUorKlIRLcpXCnr7RmNIAaXTy3DLMEYBW +Zg5bvNPvygTOSVtDdaRpYWpmazT3F3T9mlX55wVW0/3Sr5aNt/pfM9L8H2dm0fdr+lGeW9KHlXc7 +rqgeJBtiVLJjG74jCqb0B0zvPPlYqpMTBiXPjHIKg03zKXRP7d0o3ADWLrhWmrCzPvfmAIa5LG8e +NsnWdssnROEgMc5PSJW6AgQEjcJqM9Tx11Tog2gbgUhB9fxJazYN3wdn/HfbovMP8bgZysbg9onl +FA2T1g9wjunuzGJirJJKTEknXKqWcK7i0hfPcbahSR9QwtDiawE0bGQzXE643noduO5VObeJodU7 +zVMYQKkOlxCRRwiGcoQi78EG9Qj5FSGiZJD4RPtUOwBUPhvl+Dk7TFYAx+rmcLeNDx1FZBoOYr59 +d8nLtkN3C7rCacSevK5u24mjJYYJ4KbRMdw/MOzs5D759I9etkXYr/2KrwdJYzuI8gytI+9c5PPd +aiYRsK3o5rhjcJkQilHAgGjW5F8c+cZxak+by4QnsyefjXjCF5YHr+fFzAgpH7RGN94iQdOhbqcj +Wm9kgxZLi/598F+Cq3nab0/xV8TXt42bYROxBoI8m6A6cTuWoQrxaAcAZfpqX7srw7jlpdq/Ne7H +rDIjki7NHqedD7yUM+QoqMozPvvOWr58leAp0/SLWAfb/s3G14HLQInRE4xu7xEVHkYV3B1rqk3M +eIE1uz1AgeIGdkgQ1S133gT3+kCEXJKGCvJm3j2covinZncZoOmZwldRZP7UEP+5OnbLm2NtW22H +8BWxqNWaO12bJbg/4CsKO+TUa6493R/ly35VaXvrofxOZ9jzmEOzAnRfPx+a6kDcoHPuEpmO5ziW +0ESbEJ7rgEwZRfa2aMtIHzS9JNlPotwXsTYPz/DBVxxGk8Y2W9uZPLtn783mSkNKNvGmrwEZQiOx +KLc8yK3v+kbmYkXiigiRLV+ViaufkUP4QlNhGX55ovJ+AyizZjLmgz9gbY+pbx2nhPQ1P2ZZH1a/ +NbLdbWw2j7qkGar5jfjB5IHn9q5EYCmUnLAuZFPMapMyEhVsBIlHxrGLTzOvcx0jtZGMTiKLSvTh +XtTiyCwNqI+jbtTfvJlAY5TKISRyjLQh8C3ZwNU/Fl7W3XdKUXzGrNWwxbQTyoJfeYqZCV+Yand9 +qu5L34EnSTHy/g5+axtm3TSWlwYCPivqCSetC7kPzkT20v7huR8YewTcjl4oYxFC0JKjpHK4F0/X +cXQmR719vqLduJRezEQbtGak9LXxUWAJtl7a8zJarTJYv1OufUdr9yM3fKHUvgUVey8ykqCQ0YZ+ +Ogr7OM1oX5WiP08a1BzmwtbvDeiJ2asOa+N+B+pH19qNsBLf4uU4tZRAc+c/zepcMnOyCw+W9gwu +KQxPP+4kaS/79DlyYiYA9j24Nu4iRu3WUrw+TJJFf1NmyW/pSYAEAOUYbFQwyce22M8wOUDSLIAC ++tUmHhw5/JI7GtRIJ3SLpnL9Cz4bEw4d9C/eYwoQjbpns208YqDvtBxZEgjUYRPAGkLTHj4sZPGU +mGB2oy2EuMMObP18l+rmgwTyVDeRvkZhMpfU/N9HPcNzTepVfrL74yMULuMqsNPyrCt88tOWlL7A +6rCld2T3qBpA0OnlLu95kqDpOi1J9FIX9lEcITkcT3tRNiIdlhL/q4M2I8gtsvrInAEpByRl9GOl +jvGceRk0hkO+tE4Bv9+YCMt123axSl3M1oX1YDlf8fRSoZZmTACfQmj/atpRvYVut9vQpTZwWN/x +cwbjb5bs5Cdwm3CKtBz+e7pA3anldyvGEOpRNz6cby4DYpluP1fJyNxmRuBOMKaY1pnOM9CPdQOc +Y8RTg6mfL8qdK5O/FmJmtNlEV7YfAdwbpdC+G32Jka2ebIWUdFLgjmpNS2KYnnlkq7rpBDBFiaUo +G8ecgqFcvAI+fHusdQyxnz7HoaHKHrqj2HuatEAnWfobJEvUQqqr8j6AAYjwVU/PxQ0DyNBOSgsp +pdunHK+vgLqE2wzy8iGfvVX2V32GCj52kyrJIzRld7qTcq6ja8kKbdIy/7rFK8vCEzWYOAbCMn/c +/jA358Kl3fBODfLqUDvfXMgpPkq1T8Jw1MEp6FG5ZvR8q05+PelHicFhjuCw9W14tEZ0tUoutIWV +3xPB1STHqu01maZWk21W5qfrdmSn+xcPd+iMov5WEKGGuDB+9BezoaYN2gCJdZOKZUI0/b3Gu+Lc +Sdi1uPV3hIT276y1X6jP6aFrtmQgBII7FeoBwyOvyru51TCIAGtUWKe+E0YzaIcHLlqPoC3yXtdi +gPlXv+XX3R7dKW4RoMn2wJtukNbfCfQKkV7EBwAx+4l2RDYYelTGFbsHnp5LYu09YCUpeDYzMXO5 +LMLjtINLGJSVED1XEZDMpTUVY0n5mSj7bLQZe81opTGNO5yz+/WPpeH3bvdfQtytMeFTyvMtGNH9 +H7ZHe7mcwGIOKnKNJy0hT7BeFcUKvEyocVYRxiDrQH/hseWEVpjn//72qFV0g8b+D8bik7Ct5KPN +84ImkeWWakPP71u4TLzZPcWCIWtB0olodHQ0xu3Uq+z7UHjP7Ztn6ndjuv/iOIcQqryHYAC9aeog +Zbkc07TqIB07J9c6kZSRvWBDlYQX9Q0/WXL5BMlLp7HeIzNW1XjzuWmUeSLAzBwiDQB9sX0AR4Dl +r2wtb2RnErehIU4MMOmacNTWQgix3d5VSIprXqPwpv+FGEQp2DnfHI1i9hL5F0MNh2U05Cxd23vV +NPTNrrkSE99wJHxOllAUOjjbAHHsyH4fQMzQrru+v2tWlokogtnu6YTTXcEpQCNZrOaVOF7HZQ7I +g4JrjNyj06Bt7yaGU+/KN+h2OKKUczURjBd8oKu5FV+V+dSuRbC2P1s+Z57BBJ6dqMtxOYtLG80R +rpw2IfkCS+8S7V4sJUO8ya2VD1CfrGP2t9fT/a0ItfYDSvEHx3F4fjKxY6Bg5gV97S/R2/2theQP +e3Hq8DVj7hjEIbIS3PCcvq2SdxxGDmyRUm2GU1gUFIu06GlhGZxit4srmLHUkC/tBtb51fHgDCd+ +QUGrvAQ9673ffsBm4aiWKb20E3lZ4wJThNtclgOatgQulFhCBIkOUYUj89rOnSy/r0HNrf7pqgCg +FwzHxycFNmu4cyBk33JksC6+F+TnTa3w6IjtReycdzmFu+ddnSP2KjP4GCE+IUU6kqBK2+SpL33i +m0etyxjGXdeZQfGHxc93XPv8ZGZ41sUOtIcsy6SwLSZbxFeSHtZsUYR8kF25qZSgfS36V9w10ZzC +ljzi63Um2MWsUMk7p5ZSSdlZZoFip9Ug7BfDqMqX4MUbcN92cEHDdtmohxHcfY37SnhWt4DYjO/3 +7xUx4IwRHq58cc/bnfST6xO0yfAiJMOH1htkM3QSzle0tN5Z00+N6ELTEGtucVoHmeEeCyARsqwZ +9G0s+JrTqUb6kEkYtwEHNyC7bQykebACpzySI2IYd/Kxv6hFVwV/5BQIWBLyGVoHBeVj7K736cyf +EulXmvb+qhp9+dHw4bGbR+xCgwX8D2oTobVcJIbv6qReceNHulJeqjgRHR5gq5KrCKq2rDadwuAx +N+sU+hOwpzmdRey23dyo3WG5dqAx7UWhpIZvhTIZU1s/g6+8YzshYTuEidl2bU3WqdiE5vo3GRbS +KsnYQLx0C7fNLWxf05rzGZYc5aINoFk1vPQ626SiNhSzdrbqQ3uOarKqnDDXMpEZ3hLpn5X/jRfi +atAfZeX052mlXzTfyModyRrFTEo2NqHHxN2fjUMXfyrhcq9DSsatXFOUR1WRZHzk2HEXzQWkzAl8 +SM+QNBKL0/GI3HYIee3dWMnDOKGfDGNr1BFLG2YIc0H6dA3T+IRpw0kaKa0Uc56Woq5XD9w2zqpI +yvzlwqt0NDk12zuNtCjUJa+SRQtPKORwIQCjURMzaolD9NZzvkGgfwE7qO2NHIRYFfJ3OBIQbZib +JewokBqUNNIqaF8IeXI6zUrwpExvU6lmqehEA+NmBnPWRzrYH2B0f+eapN1ZKJvaDAVIrHSvNCMg +kvhy34KTCZvG//gmVLaMCeUpS8fuTApFyB1Mh8N16Izs7gKBcjm10TztfsyxmuRXbsiCuhIcSYgW +65F3cbFQBOUjihfBBUhZV1T57+NR4+0u3xuMkWrLrjEMl1mrks5Z09tP8hqqb5/lxtOB4yJZmcnH +Bdf6QVDGoz+YItYFtleqYzBab598hSOZYcy67V1J1OSHmxkTEdxZpjfsXXJt0D6BFMPLZWBHUrtV +UaalVx1ZJDC8I7khSrgfFtxlcw1FyzW7YF8bH94cJHO6d4HfOnWGgl+lSOyRwWXSC5deetAYFMam +qoEPuTQsX1vC89XBP5uVt8328yEz5zJe+GUA0liL65OSdfits1bNPS3WmdxE1ow/O+fP/tNPB0qZ +uH7+PBEk+bjwrb0OvaKgXhMw75dWnbX4juIi1VlDoFG2ggfGM8GBfFirqBYp4DWow3ouOX0N61Wn +iOYcIqNiaaO8f5ZfGMqPYxxrOxZuZGSuPoWDThrDi0yGBE2oDMTxhLOlqKFepe5gfDFWGwMeMTeP +Q3idh+JlNvNjo3fT7+cuwf3glVyjUNW3K4NHwIWhItQqIxSaNHMiONJKodUj+EF3XXgCWy+wXkbH +WtDZX44ZMBG+rSN16aacQoKSwvZZMO5Yv859ti9NVh08Q3sYOqOz103OxG8kuLHntE8Te/xk7f9w +1kwgN6nZsfs4NNaM780HG2kFZwHYJUDetFZEHSwk6RXoJwJEHmpk1gcNlmSCGFIFTpWRato3ZJcw +YyRSoYnLRBXE9yvR5meSiqpfQUXWDWkIZZ7ALoB2r1FwcXQgGDCDfiLQfV7BCfQOGnualKBWlo2L +9dCPxUG1TcWZPlJKWws8k8DNHeKJuFH1nteZgmRBDcWXSy1LHKP1CgQKMCnZvYBnF/LeB/VFkVau +pMW3LX3cDii+S4e0UioVFaFN8o2yDMg4hy1VHXxRa+0pG82wuSKaWjQxJ43iowuExnWnh5NkQk4q +6o1DDtFndkTUwcdXD7Mg9RLxy5Q8bZbnhMjPMoVCLKHTYatVgb2x7Oh9DWiUAf6CxMERITjVtsS/ +3DDr3/Otrj1fUpf2JraL2wcG8gDrnmEb8bpx+4M+tJvPtuZ3vpwUv3iFlLjispoiZJ4Cbrbu1BVt +H1+hRqf2i/pbk2+vPZat9wgAHSHdHPayt1g49wFq+ogCd6kM4KPtLZ8D1BCFdHAhWpQD2UZeV5xS +K5aO/SaIbtVQa8dL/a+d18kElEAu5pEa96Ay84eNVz70XPTrHU5NC/i6CA6rm8Mmvvimrd13A6Ht +LQIPLoeWw5nJ6U1QMW80G0D9tVSnqMR2mu/T9QnkTRHGJ88JjxPC3swpTO/2YCeij0OxIyejUyd5 +Z93ICMp4jtWmALgPgF3e7nzzi7AzOWdW3T3I+f5xHvXA4/4AEx787Ud8ppYoy3A0x7jD8szsxZfW +W7YNwCR7jQDlmo5XSB4P1TVvf3kuOQdO4IjadAQirgb8dkov+sXUftXVgiIf1bCABXSJAyQqsZhl +oq56xfL1JNSD1PevcG5aD5f5MH24iFc8lR/vU5h8QZpIaoV6PKOPYcUshYc4sN8dN+RuOJkTGfMo +FF18kBe9tIBvV4LhQpJarUcvXNxz5DZPmMWGIKcTh4H52eFOR71y7lw8gm/b+F38BWe6F5A+wdFX +AJYGmASwkcuOxqw1l//JoTla/Ee/ivpaIyefxD/wOoCTJ8w/M5+llPjoV2VL19ByetEBe0UhfNpQ +QpEbGeoD1iy8zjQ+M96tGQmgFAgzwzXlOGF5bWb3+D89DSNeQ7zhyXAf+We8+z0qw9ka26+8R48j +UPD3PwROizx0OubeIyBrM1CdmnMTFQf4YICvwVbxz3ikjLzM9EyXFw8DDs19J7dK+6vIvCeXNNQT +BcqqVZpkVBOdtKAFAvJ+QNZtudEP4PJv6AcF+dgzFVnQHHffcBYevogYt71xm4AmKZQTJWYJ9Vpc +QkYnNaHk60BimFS3Tlbd/inMOwjZQOfk5EGygAfkIn3ypxZIUB+pw3jM8LteQI3r0uU20MeWZJvS +Lobtif4h7i6873ebqiyReyiWbJoqFDyZ9nQFb2DyvsKt0MpUrmGNwm3Od1YkFonnZRWjvtDgOgoP +gu5rqkdOSXZ5+PqCKXoWMnmD38Q5CpIuN7OMMFiLlJJBs2L36fieFOHChlHTFsiC3hlwZ58rlBcf +vwvaCkjiWT51huXAX7UE5Mu6h0LpoBpB0bV1QoDaIzjoSA1OpFWBtADdK1tTFcHeu9Hff2mzMYza +61OClKCMdwfkox73kpIUMUMEBKFtRk+zQvb3FASdWR1/OXGNS2JwG4UeRsW61Dy/KeZ3Epnc1uL2 +D1Vp1yNpT1BISsQmLkpBM/XPKCs9+gD3sjNXsk7OfkVcXYd09t9pyQFbPQpG44vseoL2ECiXcRcI +XAASlYXK2tihOMIi79xTyyc8bVfwpnlUIJ24fzJSCAwyNLTiFWgc2ihGGD4tHnLNKChBxiOT2Y0o +rvQ4+81SrtvLpzdTTi4rwIcEmyZYd2prPNPXcfohYWpdwQTfQvEyF+vR671cYnCKznR+nl/4ha4z +MVGKJHk4eB1YEmOWcMK0EM/T/Xo3WSzCXNfTVTvMUKm1IAeD9gt4/sXNoulDHiiqU0e+X/1RZr8p +cShiJj74D5sxlKI1/lkvt7yB9C6m/+17QVVhWzhcE0DK+TzzFczIN4RdSsDCTA6U+Dx6XXNn9RwP +VVYkXaJ0pKsmyiuR17BDi7cUQQfR9AeHuSAlbUhX6ytvDfPPMg7/nIeQ5t9zBgH5998qu9S8+YOn +xnVQPchCP34CQbM2wCe4WQEyj5KFULkyrhCi6qmc1XVKypcG+zqhEr6UMCcSdo0m1R6hNHKsGEQq +FvOLWbX0Ru+Tygvb5/PakaFHftpjg4AAskpqC0v00xmrLDLaGK7nQMYWSNbNcMZsVw/F4JgIyzhs +KbDwDfHXutZfA9L38J0ZnDFCljv3dKm4tGGUw3Ic3c1lCtdnfgmRh/+Vyt5BOTU1mNfQN35FJQ+B +XFnPhj379Sy20oNK3FIeb5YIU8b6my2Aa6qc1cBcEPmMEGnU0QyN/ch4gnNIQx3qC8tVTaYip9ZC +RWq9h/U9LKTxtN+KBr+XKJdNxSanxSn+7D/vxwqmCy222iut6BqjLVxykFUB2tNnWqtz+wVPF6/w +7+TUoix/UGNKW3R+5jSpx8PzGDrwyE+8FtzRCI1lcQgPuvCKmVC6WyR57QCLCx8cZryDagcruNzX +ujjBfZyMPfGK2MKSkgtJGzZXjPjlEnqMiF+jagK7Tv+WvXjK9wU2cMRJHZP5fKvniUtxjTtQ1yCQ +yhtijRhafEh2RD9Lrujj5Y2QtAVJYAKcYX9/iwmj5JRvIy5gRetBTDKMKs32/BnWMzsUChaAaf7i +kcy1tHwiNwqoBq/4KENyIwP7wvOSN35om6Qo7URPW/xdl4nuG42A8HEJX4DewRR2EJxL6O9ygUpQ +aR1SzGqKJ+lXB2Y5qpSCZNKZc77HUzQDwHz1zivULi34BkmQ6Sv8g7KWLvR08b3VWT+OEciPYSng +wMkOzZ9dolM6Jv365+GoNhreCUtwjkiZsJXJB3gIIqqGlXqAZme2BQjd3RsyFGeoewaZTZHUMuPG +pfKdOuiaBX0N/pAes8/ZMgDkOJLNfJKqs8zsVod36fKAkk5o/tlXBbWs2U0DNwiVttK4l6bScn8S +gPJ9ODLbCfSPoejU6sI9bhPYF+ua/NdQsn33C+AwAybC+8UG7YRdXRH/f74g8dTwGeNYEp+GcneJ +5INUj7rF8aCvcjaws2T+iiQrguXpOuHh3Dh7iI3PloDQ5iOsxz3OXpsnKupu+ogBvphR6xXehWUd +WVWRPYSzbfvV99nbgeAHyLhfjrxrIGP/CMnzPGRRo2Imng0sOXl4uRZBwhdIaxQDgmsjrzSzPfuq +8v4DKg/V7BH4MKpcnIF/hOzJbaerAI9DaVm++ROd5wNVYFxo49Okm2ZAse2YkjNHkKzDTb2PBdIf +yFCL3pRCuGIp75gVKksqVegCksSdJGljNMOd3DVxfd0Dy46cbeJ6xDmVpAzCIBkQG2lv9l69xcXC +wp2tP4gMjKRRxSsVmlfUel47UtXRu32ZtNRWASggvVhvvCXqOp8k+/f5tFcmTwkACSvOTSf4pC7B +Plud8fXTRrKKsPTZKouO3x3Q40O20R5Y3wCMppWhzhyhIUVjyn/4K2Dh46aZ2+7JVQlOcXHPUMwz +4r6YBnBlgHEalKgcIU9fJ0Q6RS7QHewYL0E9KA6qCz9q4g1o5wrXwfLgelcg9u2SAKxhst3ou2ce +8oe5jRjh4wf/D1nsz9xIr4WA5SsJnVgiItI7q6DBil+52JfRdkitDqXK4Dgu9zqjeCv04YI1VSGF +5Pn/2zh3P379b9KvEHU+9KXPkxLfIbD+QvbxAsmS3Ak6hiPF/RtR+lNk/5mp8bLxomHXpOWR0qYf +pGJnvTDSSWWBUfiSwExuE1j2HqJm5S7e9mmnT15ucHR4Y39lPHHRB571TS0jpH3/JJCnKV4On4y3 +KemQqI4MmSdLZfnDHD2NwHPVQ+bvdWY0koG4Alb8U4b/PrQmf1A+lEIhpN7Zo5CPIIUgmhHx4dk3 +SGElapOC8ah0aPg6TUnqPypm3eXlJYcFUL8p8DLXiskLeqv4mS+iQPHmkY+aYch1Wnj0hyo4q9dL +ryORTTjxVbs+sxd78lVgf7zrkJeCaS0CYft43JVs+DStmYOAjFQQ+rhU5E3ULCa03FzjVpdSq+7Z +m2hLBFEV41Pgk6INImCzrQwp4Rupx6DE5PzvAV+uSgLyyPFfKOmOV693Lnnw9MsOb04+MHndnHem +W6rBScbHFhLFiSwmKasDqIIYuoK5C7GqaNtzn75KMtcYD9Lw2xx+B1PxqNQFPb9BNXZv89EuhkbX +cUOboOvPbBJiSWrP2Kt8acS3N9GsAbymuoesJUd79bIOuYBxgPp7ur6AwpFortpjlVmnPEPuLU4G +IwXnshQWih0aBLVzIwDwq2QgJ421qGY6UXdgmN2vWve9bUDNO+x6Jh6hpb3nQGA2s1+cPfT3m0fE +w3NtdmvaUNDT8JD7rooLQlO2HyA7o6mlh2RNwQo4rgjSXEuXf63RbJJFQtZy2FW3/pC4bq0wz1sb +3Fz4RCIzFFsGAqrmA2Ae3V3aI7qXjcEjxFH7QMoS0Q3E1vX8kBDujZOiM94Tla14AesefX60p0/Z +1yDylLAhzS4wTOwVLF0hyy1sTOW57IuKcfsrn5uEVBDqC04T9RSO6xe30ttrrVrgl9uI8UY22abn +sQjsaCqfnRh868rvQ0z8p7IQRBgI2ViPK662buoNzBGv+LDml6qqFiwpksyyBaGRF1BbKMxFePTK +32BC6COlZYxc6F2wh1clD2G3zeDBm7DaIve6HWoJsIAZvZo9M4Pb8C74dfBvSWMdO2ezqUdyyQaq +SkTFZ2RovnmCnYTpUth05WY7f0LgSDwSQEumu7KfFHFQjfGRYKAIqS3yi7ssMJNNuX4TkolnEaTq +K/3YNLD62WsT3PF/W1oikyQGeZYdUApFqwqZOOp002xUs+l3xGD7BBJFHjCjpeIUXjnrW3s0SpdL +DHJwITL49jWLENSfXv0gnqqTIKE2uJk1t7u0zRmvJwnGo2uSx8T0nNq4Cyt3U9orLC3CpJoZxkrA +f4mLAsCyh5u5Iv0GWa6b9boz8NmZf8tLGWQm9NH+5zAP6JrGqCseUiZgTvedwFj3Mhicv/5nnVUG +AGZ2cpzAD+stQ0pfqomKi7nGWbdwq3e42loWegp/HAOO2/z20gi6poR1Boe0H41mhVVegaZP5hhX +Hkh6SfF97JSu3ruaHLygHFTDp8dRccARK5n6eDlZWib6gkeqycQ2x/q5FEQ6ni9cZV+OGivqm5bW +S5xd5lWuK82bskUIsvUjdUCP1mraGBCr0gmSeiEuAuQHrDa1GQDh8MVTDKCTJIrzkqxCwyZj626h +76UkPjIyJKsQG7f7A6vb2T6SWJ2Oc8bYiKeRY0voUCwQJG+3SHMDv7rcQY76WNqjtoTu/hl2dskn +Rn9b7AVEqIqMT0jaWcFmGMZyFn6zsGEWyPLMyolDzt/9YLASQCGwEmchv6dVZAfOtYChuLiul2Nv +/0jhLjPkEtwlsdeAuS7XyZ8Hfz6Hath3w5f49BJ++S+N5cv4bA5+xYlMi1oWaakitLIMx83bYCj0 +RKR1n7t0/L3Qjqr8tfchqNUOgpZIqp1dxgMs8ybG39qxtReywS1XnuarAmZiY9+UumkD/ZsyHkBP +t3W+onHp3ckhMF/pEQq0uwHaoRK2znYrOEPqPCRM9wXSQNoD+AuDjt1IrD5Z3cV1vUm1IplqIGmZ +7tJMsB8FArXv2wLD87fGIapYpUNZx8b9IkCnoSVozNWSoKvteK08H+HjbH00lQhrnEyfGBLHkblZ +N48qGdUJLfTZsOunusOFladt7wTwHkbMK/BRMfdME5V2qSm6AqYKEGBQQ22J2tBGEyPB1viXWpHd +t63JhivzzVwR5Sax197dXLYnQ0bS32V9XSfL4xQ5QjmwQS7IIUjOMMbtyn8Ep/oCmXQzNZy11gnV +HdvEuwHkWwcDUr2mKuBgTPxJCy0nstsnnQdbvfoGscmi8+clqEQUZO5W0WVmlqoEsbSx4nGV1ytc +FzFT/y+JfY/zr7nno8YMuSC4vwIvIgzxzae0cNsGPIJkv8xW1T7OGGjbif+5bJDETY/IS6y/RFME +OhyUqaM+GsBd60P9m24/exjPHrHlGgWIOme0nTIv6xc2MqsexhN5bTWIfJnl75F+kkmF85h1nGcy +zGw1OP5W918ccSk/5cCkgP2VlZwiBvP0ce1ifqhlJUmP0fV8yE55p8PF89JH4zFl3r957N89Ehw0 +Mv2DbBJrgeSmkGT02qLuU0ZU3RnWmULamFK64jLdG2gC54va/6WV25lYPZbCCxTOjYpXk4hWjEc2 +0WJ/9u9Ndzjzljtpy6dQKE6uAUkgaWYIU65Zk94FW8HRzYv5BV8alYhQf4HjqF9Gq0W0rxH0kpKx +WFpFUovwxr1N2B/pwkZi6erqLoUSBVc8m39EjuKD3+z5kYKIFTwEXmMDd43TIfPXzT/SHyNrXM6e +EWLzL9CdoQ713eAa7QRJtq1aPnZ3Ae6IhSg68U5q5V6/E3OR03/qB2iyN/bJPdKmz3+DlXTcAGFS +HWrMgdFhJGaDSp+4MIEnpO/r/yBTiMlJVcHHvGroWYUZW15bTI7DtMQAZPHbimkwU7I37lP3BXSR +kPzwAvKp9qpXkHQnqMSsq0j2/N1YSd6UwwtoAxBmuJGws97k8+gCotpT+fCLEinh17PpxhKRKYF4 +ZZWVBVauEUR5T1xX9qGqdANIlIo8JFykvjEbckWmLWzWcl23IiYkaqt+QboD0tBme8ZkvsTRn0gB +eC2p0GRHV6t7GoSFxsc0xe6e9dej/jeShgGcSxOWIwQ3udOt9+sA29FDBra1Eop3oy8QDgMB0D2F +qge+pm6spTerJ12N9L4WBwIFxCdH6NZ+jytwGRJtC2aQsS3KoFiDvamTSfytg+edb6K16oA4bgEJ +rHrSSW+JIxszf2JLPEXNEFVTWuoATOwytXKzgQVHTrjxZLhQXHfsWyD6CgfQdFWo7WAHmY1TbgVU +UL4iznp3WOaSqJIxFaDakmAr2ts1FhN8yciRZAyGAtxw9CggHc6/pHjKAq+MwieG4M7SXY5pApap ++YesPitEdAaBxeR3Va2VPs7Ab7nBE9PwXn80fNOoerw1hmoyvq8NisYmdiM3g2QXv2R0MbffOkQ2 +hEQs3QXhGIKcOUw3KE5DnB2lHvbIdSyOLJs2jnmJ8WUoCowYbaZYXH26ot5tzzz+EKxVMP9JY8e7 +hWS3y2Bw+8NVkdko1CjCPqut94SPez0veeg57401RqUVf/FWsp46nfaOY+tBAAA2Q+kOXer3taCe +XAyPl0ZdWOC/rhoL6h88+58g4TEAHE9XK9yiWr3hGMHeffOIoAa717bl1tLwl/pnacr7YWkVrk1e +O9UnRwZuDov4/SwuZSN9oqGzMuUGmqex2ZYQ8YlnwACS/qJ5nNeTanpPpxlOGlkxGWBMoogMa8/J +eOiGRNwOFg8i+DapdDYlf+Y4B9W5d3N424dGyHRbOhGBGafeydbCC0ON4bdlxBfUL4hJQgEwWBAU +QDkNWXLG9OfIoKefP67xy1IPqmJlpxN5mWsb8uQ/6/LGzBvF/qJYBZXihvdkMlboxj84rW7In2Ea +BVV78hLqDxXKb0/kerVNbdyw3jjO9oIbrk77Kb4pTSCHEyqGveUQohiuV6buhV1sqYwMKKDqjKEs +tahCwzni00JPRLSKCjzPGU5HKa4ovdZZ9Imf3L+OJKlBo/vQ3QKFmd3Vn/x4kOaUpr7tiWBC8eWB +FgUZy8uEQS7Di68NMeglt8+tRqxpaJ4K3k3DR1SBpd+DfZ23RHxyXY3JyvGfR1tNr4rF0v4kiQOf +oC7iBKVyUuUCuLXIswG0DgPHmkbJwIlfR04509p0LVke/x0eCTiVniXbBJbXubQSIV3gi0anOInB +UhfBFyh1ippRz415Gi/qMpkqUlv6R8VIVZLud9dNKcPHVujIfE54yS7YANe1C5t0cjOe/8NopRMm +Uts7o7J8igAN9f0tTkZzFfTVjFXWETz7EHN6o4c4N7mwzBMxIkzXctvvOukv9X5OtHo3in6LO3AL +1dnnB+nFBFIXiSFrJuBX3Xi7qKyo9Fe4MxIfkY6forjTCoWCxr104N9cs2DW2atdtZaB18uVbQzb +6QDMrKvdo3NF9ERWJ41b0TViEC+oBj6+aUc+WW9QAVduKPaQaWs3+zeXHWLZPRwSSd0XuNky5reY +Hx8L3hbgItqSUbMqm2OQ2m4eC4oKlspr+pIqm4qXnGsTJZxFakbtwm6n7Z16DTNd2pvEJEwSHp3b +5Dxf7Vkq3mOn2eGkYLXRSAIyAY3KFh+UPkSQcjPqLFC+9gJDCnXMWi2ui1TBwNPm9OOjy5iXQfaD +7nqkvMWzJqCBnlBiuwm1Gp74bF9Ikz+QZ3e0SArOSjGqHKH0YQvpYRGGbMJIV93kMHF1PGGY/M4E +ek/EFD5FwGS4xJDLa3WYMJFlI/5n1TBBYcVQIww3MSCd41oztNb1sQCv2HjvZAF0d2nOWrTARK1V +bwiYcFn2jzADQT/fs/MY04j9ZceVOvLlU7xfuZ+sJh3Db7jGCItaiQUda40uTU4N/QIa+F9hTp/j +TfdQmDAsq1SxMfiXtLT+6r1PIYNWvWbO5lnCrBhR/K3WumLrUPKRA82jPzcfZQkeK2u5hqNAD/Jz +qEhJ5YoOnsj2UsqwYGT9jyjPNhk2TLlrKfdd7eS+bkfAc0iXSTRuYRPuI+5js57Xa6GRBzcU5WUB +YwNTe1Eqs85OWFSi/71Krc4f5kFTKWpAENrKynUY+T8JpuBZl/eEY7Fhdgglaq2mjuGuNXdzRoa4 +px+CPnWudgMIegf7PJy6hcYAFxPYwbER0lDED2xA+7TZLNHlmgGQgc1vsrOv1mQpnn1Hrpqey6/4 +kiMFkAX4023yIkTfLU26NCMC6uGxv4s5CxIQn+BEiDXMI/6TnDzlsAfHeERQeUcELBYGli3xSsMl +mApRlWWxYLH4siQRw0+N6tj32jjE4Hqym7JXYh6Bih+znlNpN2ggGx0zc2yvakDn8A5OyQmDe76s +b5uD6IR1YkM0IxiqAdTrXoMmozxdC1F21wcI90LlVwdm0JpyPLUoMGnEswAXA7VD8FeIhv+ioawp +MKilIYRgAc8cxntKqIR5nkojRFxuu68kuBU+GsVUanDRrPmUgT67XYH9JfgCRuVoT+Wj2s+rCy+g +hUCNWgWckThJQ8jphALSXYtTAbDk2dvMWdYP/sOGGMxG+AKELvE5wlEjaJT2KWmZ+R0XV0AA3ap3 +VNflne2wM06LsYc52W0bPOJ6Bg+R35w7khegf4zMHm2b5BIgFqV5shdCWIWAupzvBAbWQlUYM+tJ +xVacAvE8JON4ZCRTMiL80mqyzyzWbOfrHjWjBjtGq1rktbMFd7q4NIKJcmzfZxh2tXPzWD7Te4/y +IWztfnVecnOqj451/fWMeMwjf6kgA0V6CpRP6PDgXBSHZDGWAieLaJfjaxz4bwEX9Xf4bXtnsYYI +vwf71LvOaLT1SCiAnXRfO3EtfB00HirwUy5TyUWNjNynn/NPtwwLo8zsNXQdlEo00TFsnRFsY46g +0fB3ShvLI0bT79/3AxJCTxwl0M4Kc1uagaFUMEL7KzfHxsxBnk8zIHMckFHrjxORaJgmFW6rcadT +bZT5uL/WD7jGwPaJiKHPM8UsjK3eNkuCKZjdjwUkeKCvf6115CDrfPkydJm654koNQkQ1q4dP5d/ +xnTHjpqUt9FyDEbTh0Z+SltsieOE/zjgtXN9dbV/wP1zQ+jLzgMwnB+c41OV+e5YA1PwtbTZNlAE +B3uXaxRsbvnlyz29KGv4VsSTq4sUxsb4tA10EbvXJTBDWmZE5+Fa4C3ymB7gyRiw4NTmfMEZM7YK +spzmHgKu9EiGl3WoIBg+/guU+dlJ/rB4hfDcNTKVDHX3U+hyIs++F8iOdEenosQMDwu5RRaUuECm +faI4YzkPiuorakdhX9FFGSsu7hpAkzSRNdCip0q6am14aYdKAW1VH3IpAtQ2NhK4ZuoX3DuGj+t5 +WtFFc8O7bs/8cJXNquWRNAP+7VVmZnsLkfbPkSoKd/YOyz+G4PpDr1fmR/DS8bjmN9skPuDdjIJh +iNuDX/jD1Q6Cv41sAhPRDIapH4nS5bW9FpuFBsmmZgcuFs92z8vKUK1wCA9oUcJEa+Tfmj/6Xgh1 +BUyrx4+pLauiIdWqmyFM/ZrkIK8a8BH3YgyqsYlxI3ncuv2FwnYA1A3kpxTCNr+NyaTk1j2qWT68 ++Kb/+JQjDMbfmeAjpPKgdtVdZr0Q3UuWoAPvzpi4EG7plYaBQo5QhtyCO6Pyon3Opjn44T7057bQ +vVnArGQyccTlQXIX5uB0dh1AlJQ4Xmqxok7PHQvXfFwuX/wP5KXxG65HvdYX3hGup7SPdsM0wmcn +N7+oQj8CN+YESh84jP7QWf477aMmHj2GyZn1OUZ9+OHxSgsXOzZi1oTGI402zJiVXLPzUgASD84h +iIw/ze/DNTG7gEsr2zPHoqlhitNGzYqFX6hNnYcsDLXsxviuaK+ml/Vju+8IrYsOTmT3d4Patl6F +jW21S4Ug3+bDu97T5AOuVq2cwtIku9Mykb0arL8YMv6bjCLfGCN/J9CbGkkT5tdeavLIdPK+zByE +OgoHGrX4sTu1wEfpBUpwMEqztvb5URtx172al3+rUxjI41wrnEeFGp8lu7by44+I15IpCcovK2qZ +qHFqew43zfTOopdL+yLDPcHFkHIKdSARAHeFH4y98hsVOOqXUMcxjw6MFPrGf+q+DG1F51o3L9hh ++HgL7VMvP1uQs0XlytXt/ckPyyB2ZZU8iUdcrLtEGF9KXqK8BEjSx0Mpzi0ATLpRpERVogWCTNGa +rb2kJVhiKVhKNil1mqZDOFTdTBwWby5eQA01r2v4EyXpYdn7USKocaLQd6Op7/IpvA8mNZbBho0/ +Cx+CtIeJVseVh1ADvica136TZbUMDx8PoGOZwfGHxYRjaEt01+th+FaN23/J2NOmFrkRCmbZ92dn +K7272ScuqRf3eLwKNlrI6PjoA9+FHdAxPLLlnKlecPAjLTQQm8nfir1Vwgf/Xzj2c3KzJhyFR3UK +vKhAcUx2+iJV/pmj/ax7QdQBh4kTDjRyPUDN1GQ1yviXcoeoXcZNFKAMRL20EiySxEUcDX5V92Tg +65TQLSMyYn+HzClixxUMktmpCZWYTJt0FoYByEJxNeCeeFpGtOAbAa0qjuZO+eThuDawCjOalxB2 +XBKf2MiHKNoFtmjIhRCmoJezjkNyA43OimRSqmeEnXQs6t5yWiaajXvme7bbm3GrW5icD3KiKLhV +D/X52x/RH9FyFrx7IJVwbrcmrA/5QHIQEKHG3g+5rK4VSoIUEwpt5QL4kjlWo+tpBz+lGIGQUMDf +pjE7Iwy0ziMoFMFCHU7tqgjYyLZs1fD6er4FibQn+9poODJFjP/TZ79hV0eEX/O8ZHtpznvw0VsS +dDpMfL3Ud7x8lHqWGDimyywieFqoCC1aRSECticTmlZrDODs40nLSjit7n59zH5yMbN5vnc9kVj/ +OclnHi2XyiLuQArnWdNGMYnCNHRJ6vdW9NEQt5CjhB24Bq7u2BArWkTUFwwYX9acGE5vgxeUVYRi +QgHWF7CLPUZi1e5wTKZCJ8XJad0oNQwPL4X7KRaEFz1mDzKXj2d/IFTE52bCBrTKzVFJWS3Fn9qD +tqLsQNNSHyevwy9LliDf18FuTp9CnIwf6iUXqbMIYTZpfsOwnSP0wmol7kALmPS72381Fa8o5I3g +M0ZdPQKniiDJhOIV3zcIQh/nNMkW8SZ12RRXd3T7k+/gwB6LAr+qx1cu88/LL7PE2l5qKXxXpoHf +7feBQf5YBGFGV4YEqAfASEy7kwhJdAcIsg2eh9yvZyvGJIb83PaUEoLESQbeTDPAGLxIZASIag2R +GIQWP6vyyyLFsAdWf5le+88s5ZO9BRW/Qi3DkjNJbg2BeR4UEUbCngmeDKeC2q/cJ9sclr4FE3eA +yJpe9PS8GpIPGQMzR2SUCzT3cVzY7Gv9p8o8puVAB3wv2IiKOUfiDIXMakHre6q6vI2NrNy1kAF8 +QgBXSuzxPmSoLYuUG/7KWliOHL16b8+0sPi1SawMdv+gKtW0k+p5+Rcd/q65duC/h+VjzAJHENm5 +hovg1F4Or7SO9ZvcCPjkv4+n0bWdCUyH9txyKaP7rxunjYRIU0+NueEGLOW16DSQnWyyvEVRNJUK +OwSgFUYpL7HHTeStQ9SVqqJ/UKeYvEi5ITht+6f6vJ8bNR3BkLiADdvZS6iWkAOYU3fCHh0Qs5Mw +r9Sv5PCuwMghdYI/6olafMjCE1hStRL1mLYCH7JPAmsvlhmCAi/uhY5mIPBhegmk6oMw/az4WnYd +VJJ/zPBpANWBE/T6EwIk0lUiUFs6F2HrJGvFC81Ko7Ou/qh+F6sQvAgTImGMrdYIe3/b5igAaUuR +ZuvsuSISOhs0kwbd+FTBzVe9tuEXHK5Ei3xs+ZmJfyW3/V7/gzhhgYbb71RI0NmXPM+xoQnB5FP1 +rco2Qacm5VF2eWezkEsPTKLAawwMsMzcGsRALZKViNNfyUqK7R51rnbvXjR0XaOZ3NNMd/Fp+K5s +0C4A6U/XyzSId0LARcKuCIKtgWri0N7dxlZa7DcA0pMUngb4CdRx4GRzOAWI412XXJBt2cFHxQeo +c8fti+ZfBoU/IGJzKT2O2CkY+SuipJOmGr4werccOL8HiyQ0FIoAyOAHN/PGFTVgwr4DA2avMwu7 +sLyZiIg3dTl6/xmae78tqu/lxi+x6ETHeEveg/9InKQV37FLha1zKtCeT7I8uERMBvTxLq20xKf6 +hLgfd5+8sHewhMG+YKEUtgoRW618IqE9tLrjvMe2r1n1UxlzdlAgr3+0n1/zNQepbG3bV9FMQclF +6c+rxFaBtZj71136RteRjQGc2akz4QtpE0J3/03XIyCmDyAkMnEq80RLtYNYkLV1m6VkTc4m3yjW +xc/F4kUdN2wahveIvb97N5oRagKQlp4fiV+Z85E6G/CTVPtJAlax08VPR9yeBcjaSwaSsAq6sAhL +vsyV0RloMHB4kCfYKpjOPnVXECeIzLvwoq4NJOUoqz41wc4/U1aaYTmDIldNhky2K6/emkVj6zaC +R4E9S1qBSsCkMWBprtP4htvv8kjzQaJ1iinfyKTlggz097ZaL5fkk9by0cCL+FqEXzJ5NPXeqEoR +FXEGfx59V5hhowWrLn4l3AT5FCRnnlyB9gvwhPmUhtOCt1r6zIA1tUJ1cZG2+uGRb0poyL4MpNS8 ++aVFIkSP6gquPoTMjyb2aUNLDqI6m18dqgVPO2fh6iCuKQzY1zzlaCDTHTF8mGF5b5gqOQ4r8sUY +Nz5jNXUf08kBfaXGbyis8ARN2FvXQh8IGxEN74laKg6r8vTdi1uUES3Jd4V4Q1S1HbQMd2DEEUCm +mvuJP4XdWFHI23KJcJWVmX8mpLMOBdGaTKkeIPa7zc7ghHFUoXKM8RSy7A7v+Ov2TTV05p04kf0l +71LVRh0bqv/pNZhcJrkCYdFanHXEKyGsUMin4ytQb4KtpCKdChLu1AkCIjQNO5vzOCJc47mETMGY +oQ4T/m9RZFC8WwVKRutKvJNKWz/vbtrjAzwH+kkU6mzKyBo3egNmWX2zsXnqzf9hHOKXuiZXb97u +E78aIPS05P8Ws1Xyq8NNm5DaeUDy3tuHAaXlMaMGF9yV65fSo86I0hTulnW1X5FljPcalcPmi2N+ ++CcOg6L2OOrEAPIycynbEGAIEAinOkt/cURpbnwBN5Lqh8qKIcYeCfv52F8HdSjnhwoOpiZ3UL6B +Alb/WQ3U8S/Jf6Q6Y5vZfbj2QAVvkhk8hY7wrFyqXSDr9Kjjx81Xrd2FsRO2RQIlKgy9MT9KpLlY +hV7n7y5tfB7T2pubMdoF0rPAJdxcttvZH9x+DTIlZaoPNxEO7j4myRd+qwA9+vGABUezCd5hxvEu +sKHZ3GHkGgVl+4ToAI64ffbPf/N2rQRp9nwyeDNORHC2EPXxTlcQBKlhVHJ3V8pb2StlVBVBvZR9 +YbujkMy0MXr1oiZLWnTxIvRQSks1HGvc2lKFSvGGwHSt1yBPlc8vd0O8BiFQM1uOyFUQSPa/eRN2 +zhR3ATOPTRubDrrpCxP7RlfbBAK+4llMmKZiMTsBqAiOAUaqyMwujQsclhEGhWkx0F//dMaI8x5r +bBUy5pDURU5ayjGj35SV+yvLklMbIWMqXpbPH1MEYMiCxs7TYk6ZiCS6KETTKVRRP07nJqfQl6nb +Ogl95euYkArwEVjUXqMBmrAfRlxr3U153fTsWBpczrTmn0oivDYnmehHM7NOjzS5JfWGXHojr96M +fWG31r7aLY+UF/D7Bs9itDiTsAWfop8iBPrX1XxnfSC8eRgp8W0QyzH2m/oa8uaFJvuEqNsN1HCM +kFO3pr+Qk6KJF1lLr4W9R0dmuCL5zo4/SXCHByt9aSRgGzh4oJ8J0hvf4+fkwMGuXZ9yn5Y/pPh3 +KTeWUbYb2/2piF7rbRF9eT9Ib9PbXj0x7C66Hb+so8Yu8tvOYdmJ/idVVPsywxUDsa/P2IOfTq+s +3GXWo0IxXBn0S3LpepDJV7ManGJV9cfVH/+26sx3NM1P5xmUWHv1QGphDLRBl0tFWG7s7N04jmmh +cqswMUwQCwenFTz0GKDQqDupr1p+UqCP80l1f+CnB3jNuSsH2wy3zz02Y/WofmO/YC8Wm/xdqB7i +sGAjxr9m5ohfjBEslwwnGozBaidaqpP+nR6zo+GfnTonp+0IsSbEdoVQJdkEkhu6wlbYjRlg233I +UeIRbQIEmyVJ+PvOCy35ok6bKHBExlkEErC5IcO62Y0aGeC20aAq4qoPUn3tUjTbzHxe1zwp1dHz +92WA7PyPCvKtLjLNx+QVBvw6+LOTsAtT/N65/FS9Wv7L6usbpvKkIhgR9cHjDLJtzOUfE4z9hSyb +5MtM4rI/t+VNlEiA3DzAlwETyu7KhyRn1MwCQrXxW22m10CgEjK96SPhF7q1e232Y7yTOIF02g2x +S1uMqcV/aIeEv1fxsTEoklpBbbPFJtRZz6+BEOQ1oTd3bi4hTS6PEW9E9B6sB774jPFX5FIAMb+8 +Dd8rnihsXZ9xZyCkWzX+p32c5esl58DtnVUi8V+EpfY8bufq5UMOytTDGENxVs8pbpE7+Nf4arb/ +6U5087NYJHoKpM5WRneQ2gBhusov+WnuOhT9GTt1IwPlWsG5s2C05fO8NMCDodLMzcDa0jC8lDGm +0uWMVgwcQNCag8FOOWnlBm6eiP5qeHKuAKX12ASpLjbzkekab+s968gcymjqUaIOBehDthpTJ6bw +ULSjq+RtEOSTyWg2u9uFTEAykrI/QASLXawrZUduVuxlL+6Id9mXBTJbD2ig9R3V0ZpphmRz1+Y9 +kGU5pfH9y6pijlCAa/Fy0RwJIAsC7q+cwtFiv16vmThWMTqq7ryB7W0GCU/SeaX1taf+uz3Dzpye +kx0d9/hRAAPcyECILqs0vkBfisl4DpwT+xnvoqsCiFO2puk3ht+oJ/HCJzx8V2BwuSrFHl3tfUf9 +5vROz2ZpFWJVvQITnqVkADrQ8fz/851Eaxkp0auF+CB8SFAN/If16/eb+UBdxMPkr4yDMmgq3BPL +SS2LJ1xBfjAozS9n69Z/sVtFEr+gupbbJ4+AnFvhrpAzsWADeJdOFR4YcwmXcEO7IkGnkRHIJas+ +Qlbyzstw/3ccDhoXwzOu3T4zvT6w38EWUV9Mde6GJ2TVlo1OPVglk4a1yyn4sRHDAZkRzB7cxHJQ +iPKB2NY0XdJGUy3BKsJ5yMBIoMeQBhJfABtijPN+ShIIQJtUv+srx8T0wuHmA9qdh8NZZD74eEpv +jpKNvU8z9N15WVbLacDHwxQc7rsp5mCJLbiTxp2W9uwhFqnD83hsusUEJWaroGwrpNq4kwDq0n9I +pWxMGTnLA1Pd4VVYV9MrWLcbRXJv7+wT01vjOigUSIMdBt7Mh2Pk8vL70NFBFft05nffPLiUbpZR +s4QTZpB1Fthdx1uByuFRJ5kTPUbXAPpcMMKoxbTzHmMBQAIPq342fwT1sUgl8nSvOMHqGPyEg9Nj +EhHFadr4PGgyXRpQT10quXkrdrkafzCgGgGMSFSgl//8fXN+7CdYJVBA5KB8Lhb62S+BEgc9Zdan +2Dc5vPlXWshbSZA6s/kkzFjoEevhl0S1aShaE8/DOMmIR//UEPskoQ44kGoLqz6aDXoHBqrfUZs4 +5OPf39Z/67lF2m+e69pwI63o2jXX3umsJaZ008cvpAoChQ0i7AD9ZIkwqv7Pk4hDd3E2OjOAgdzR +pMx0Kac1NApANnJYFzJH7tkbiUrtZw/shCr2T6q/cxEo4qNSxcAOBY1taoXScz75Lp50U1rKCq/Z +5MTr3jHWdX6Q/j+7HguT1Yq/QtyY/uZU9CLQnvHtRdcm6Yy9pgOKR7nf+oc+xmNolX/6JdTRW8Ma +pjOYT0brh+E23j8V6WDTBuIsdtWAeSp2gNIEJmY123k+nJ/KX750eoBvTkTUqPAcFAP8HyswNeVu +Ce/ZIQEVTFxZHY6XscFtk7BIp6DmCuo0hMyoO0zqZc7+M+RJlpA87ESLM/o9MUunXxZTsNgTT9Wl +iye2jV0YaK88zIEiEkbCYW28ci83KrDqeCRTOI1o0FFh3siDT8hQPX6pj63XcrRY3k1GxQFT9rZb +FGn4F0kSNElF6gLA9jm63+k0/8he5VACq6FgmQ+eXepc1WmZJoOq6ax6CTk1baTWFNPVK7imGqlJ +V5pQMEUVYr85WGRrJgekvLcNTX6RQIPvEnCID2wcIeYUA91Xyt7mHxwdIZfrAzwQaM4Wu5aYHfaH +TTL3JgJO+cPPdq9GV170AgGG8oeYYVqHEzh4OHRfJAGrkjpYbOaroeXq+dQHQWF2CI2LQIVfSbbA +2YAeBM87r4nFJFmaqgb22wJhQiwZe/Qu45V4QXysW4UUMSBA1dDUZSwBkQeE/dcXBJB4w/ENHpiX +64KvPwzMkmUC2dzzx5dtVDGfwRhjtIwB+ETmnP1b+iZUMnamOJfa/yDrQlHC+b+IYcC+PTLI3l3S +GsbN+y+v0Jx6+9jCcC9WNOi5FXtGwS2yQZD4p8/dXbkZYxu9eGD/lPMR7pmRvHaoei7w5qCPtzN4 +Pq0x6jlQkmlFMYBGLHQBrLOcAqv4ybOJTajG01EwGkNKQNbpQBdIZ6jfgeJl4BZbcHRDjBR7tWWn +jp7wSosidlxUo00IxrO3+4QuOUBXjWeoMbRW14HESHWwqKJ20TaY07lFMRIlm6XfpB6bncWWGJUa +gplq2ncphhtIa7bI3Vvah1v9Hyvq93hzqGBw7Ly8KOa3b9QeIRUmx4GwL3Qb5OHUab9C4QcNIW2z +Yh8Tfjw5US0FWbbwGvXd/BYKp2wxPnDq4mVbxZwae/p8TkGM4hePE4GKdyPWcImIr4B92vU/TFcN +wivKnLovQORoX5uGSVBqRqxpA1mmxmjQl8cWX/WbhMdA6lNKaMkrnU/O7Beb6aK3qYmdLkVYGvx9 +0/TbVVGgFA1gxXblWaaAF2qNT1G549DMaZQLRheOGSroxjrnL1cPC75D1KXnQwb9RBRD7w3u4gCI +gjuEEfKY+xAbnKJ0kp2YPhmVbQ2iKjH8AxPAjnh/o2LCNxni5D8fszoM6E7VeU3edl+61VvY1xKD +Pz46PhIdexU9htLmPZtNY12ymsHvUsKkCoudnmd8fxijylAwO0+sa5MewxgshCa/ltaxd2IPDDaj +2mh27yCwpdNXiaFvS7EA37gNPjLGvStqMFNGPNDvypg/MmJBUoMQ7YiCq7PiQ7tZ2Vi2KWYgJQpF +6lSlDVmyo3s8eSv+CRAgLwNFTTJ2FjK7FdYKw6d+m6qdTmi4G5h3tzHnI+HxNItOEJpvAch1Wyh1 +AVX1d5IFlx+1BrR2PA4/KC6E5fAL/HSYTGqwdtcYsHMjqDREmRdX+c8TqZOsyxkkAjn+FJwXIfXO +gs5oonXirhK4XfEbb9XKqiw58O3MW0De54DeLCHDuYv69KDA1KsvMOtAHDLIjTmNRQv5ld32O4Mu +VlOunBiwdcM8TJNDV0qgpmoQBgZLIGLvzsTt32ODac0Flj1Rc8mEydQx4W2rjNnqrwDeCeH87EM6 +bZhJEO3ItIncSPHxiYuwE8lFIwZfAuwstoWZhx5xvIxZ+97lLULWrIijxED+R1tSec1UvLzDwuDw +sSn2r0jDO6qT9/c20kSyFrwOlPdSkatB1bLnMlSAvGXtett0jNilEISbRkKuhH0K8wPS8o64LgH7 +Vapyc6NCFegbH7aBy6Rr12UKPuF7qz35Urq2zvjdCoOwkqZWCTHbExyX1OuGbzIt9/MxNQgPNzBx +mM1HJLtBK+AHg8Uoylcb0stU4Sg7EFJa0Xyn/gIUygEMdkvcz3IMBaJEDaEiE+REn0lnhzPcEdFF +qW5v6qNTcf4IsUQJ+rbrLylwU2b/tvSZ+VPMXGcoBzZmFMMY+cjykDH5QPXgBEoibxNkKWJ3IXqZ +p5f7u1QA5VUfGvIGOsTDr1LMbVfalFlH0dtIPlbqtZqyfxfmEcOLxenXELB7vTBnxyxTqDEMfpqh +kx7FmduzhvK3svbflD4WwIULlhjds7K0QV5wDxJFFR/LYLhii0YNeftOtU9WwdrLJGidskI6M1Ql +DybyFblNLJts2m176rN8TDGRuVX2waRQj2SRFVn5wRcN3scw3nSE3qYEtXEapftxcQukfLIuxok0 +auaudPuYxflklHrdpg40ycKWJxmO8CBkp/5HMj1dYcX7OvOKbK/hFxw161iZIkFCN3KSIcnBKg4J +DM3trwZuYHLbjLviUFiE8kmBYwTLS8DlLwjseHscVYhxnVhZXLZJrylF/KusCgyLPc1/zkV+sFXZ +oTl7i7J8X/HAvhJUnqICD6DFrKbP14LxC5XqFcl8Tsz2qQHdpimHfR65QXgCiI3GiKGITTlXGPO1 +aPJ6Q6T0X9ZD+HBPKh/x4HUG6c2JecfNW7yRt/4d/OgGn+MfWfRvPpMLW6qDXidU+rLgnW99bF5h +e3PxaUhfrh4JyFFlDiv6PY9aBpfwnUWOTjs52x4SuTvsAfrlFI7FjTwW+003SMueKKL7xCFunbCo +W0vypt/Fp4XsvfjmQ3P9mGHLfsKFKAW0xxJSaVaS+cffzRES0pdLrezakzhdfYoCG/iP504X1E5h +u4EScP6Kg8+E5Hj7PPA2uuCrgvNjgd2cWTqvnJ9pM3xmvRw0mQ1JfItpzOBO3fFJ42fN94OQLgKB +8LdUWDY6kdnxi1w+E24W3fyfvyM7N/rXTl/rNf1CNp8YWuei7XF2A95TycNyNBwVh8F4u/sXwbvD +Ppcd8g8kGAjBXkF6Sini/3q1AE7+x6m3LFC8jIHLGhbnY9tj4ia9BGWrGNlKjLPVIY1oZT0gWSmX +EXIB0ocnKSts5eq3zrlMI6IZeK138eG+MQr0MXnxA1xW9R7m13/RugwJhfWp+sMjBDsdswvCXAQu +to8fYKocw0LMHtYmNBrux8Khp0Umvo4zU5JUKjf3jfR7g/MYqIUn8WYmppo8FL4qEOqEmBrS3MVB +BMLeZI5DWeHWFWX/SIJ7lz7cUvRu8lUWx2lXlvjP4aDYfprAZaVqGxoDYFQW+lpsIdd5zAqVnpy9 +LSsUiyoMQzOemvk4yRVxTYOCt9RrRt/H/skRcZRn14eU9L5SM7Js9ib03WiaKot4tfbOmL95W6M/ +eff68IvS+WJYy/tbY8xOBla3dQhRycp8iNjEoFJAwxybHgan2O7748BgNFMVp0CGWjPe+9xzkXQ7 +Mqw2DXJVJ5/4Fog5mXfaebt006tNZNnq5kR6wP67x0rDaJce0bIWK91sIGywQa2TtCAGbgZOGAtO +HcDs+Ijf5Z8uvA1H0+BOUx2w3Kex93VjfCi2B3mNmrjjd17/2jrVA8XLh7Y1mFKN/K5Yt78cZUze +4AwK0Qkqch/jktHM4dZzDsr1kuvrXHpj6ZTlHiSAMwEHOpK2Px8jR0xCo+A6mZMxORKlBrpLNXQU ++Z0LTjAS3TBTwULTkn03Gbg3HuTE5abObVpVJCE5iIowCGxYyqOqfz9FWGZxrRB8oXM5Ts6xowwH +eOa9tOMdLejSLCClrABLBuEHoycA4hyobzWUJF77NpcLyX7xuWLuGBkMe4bOhWD3p9mwqYsp5nA/ +UhlS8XkB2rSrUDBcaQ9dAi6aLGnARbrj5mflix4rC0a3+hNeDKSrzJsC6zRDdScIpa6SU3phiujs +nTtmnOMETGIOXbdMi9/Bgk8/pQlVThPXV+iu7tWQ/mgVxpQAbCy3KhahUSjZ2D6Ip5RthCuicqKk +xj8i5PmMbK586a5mQZ1ID3sCneHei19cpT22at64UZvtzd+WmAajWmlkSYZC60EsCjt0hguggxdl +0oDJpDQ/ZYIBGDsGMAigAXchvAcHbsND+08lmXszQL/XG+sNj0qGHdFixn/IpUObjYp5GpIYZDJs +tmtUUhzc+YqSNcK5/09DbYUkjHJiBHDKalV69SyLKiSeJ/AgrecO3m8xnMtVUOePHGrJIo3Lgc0R +2+Z8KvrAs3PhlAl1nfGNxFP3VJo9MnAN7sX5d0A18DLrTRukUUvybQxmyXq0sgNl9awyDGjCMALc +uaKBSyWNP300gBE+VC1+2lt3CbyTZr5bc6yIL7M48JxgwQ8IffUGfZKI7lZW8ZRwon+U4tN4bvoC +tFoNyFr5cjuHwEVRoQ6Tj9WUAxrQypBKM+cs12dfSe+ZempV96VVqXn3cR4GlouuXMG5fnFZONSP +SGFaBevCagdvJ8xGADY0VymzIHNsDNEaq5OScJ1GAreUmLTH2dDuCfWLRe4zhLLdkKnO+6yfNPC+ +bYmp31ypRGdL9ECMlBSO/v+ERojd6kxi2xUBAM2s+6s+5HwIhXb8QQtke+eEI6Kr6qRxJKJU+8tM +/50nRUf/9U1oPuxqxd94eXpS+355b1dwnQfqL64mb6ZUflztVLurQgg6e80bgLuY8s5i1ckU/qU9 +vwAW7kAH5EXF44xg/9/tazuGVVdp2Q99yNykLiy21TJr2otGdwZFvd53SZ+6mEKCg2A+Lr8lUCO2 +7R0FT2Dg2Pg1ErmyKe9BLHrtgcD07QEzXUCOvktwgyImOPS+nHWdzHP1Lxy29NP6kHUDQArPn+Ju +5nEfuiJJBtksDalBFe7b0p+j0CtL3/BEQo4UPd+YaEhaWayE4zbz5gJbvUplJUxcyTgBdgfbi0Nl +8fMGSlJTlYPmLLpCgANj8VKXRPcPcWGrNI+lQMUaaVi8O9eRjw7ybexiAZsT8XZZ6jpsBdFw9Ep3 +4EOGSmIUy2UaOfwIHSPkSBiE9XiqG+k/0cvnsZKb2LwOs/KNZUtIPsKMc+xc1UkSiObekYxtfguR +TTSyr4f+ydfqBeGjXOG10YRjrA/KgZTEPEb0UyhE4ZNY827f/hj8O/4f3GNXYuEr+JW+wdbvZN6o +CZaVs5YzK9zxY2npi1ax+uftrpr/3jcxnTH3SDzFnARbgLEcsRby/9vmVkXKaHs1smrSBzK40ZEk +BFOszHbKUEbZeOmmY2DM1FEKtEjaPP3m2Aawq4VSjxykU8CvSmyj904+O/4YyUtK04wMRV5FO1EO +mHraduB9JJCHKU90pAfbZHu2YsUym5i8eOUT04AiERyKTthYNR5mfRwvdmlYt1lnzPAsykCt9rQL +pk8+QeXkhb/XLRhKDXm64FVrwyqWgdRsSOztMl1BtENb9YTjD1mO3t6/W8HN9T8dMR5H+aLBqk/8 +xLdBrw5wHKWS803/RsCEb/LKR2mzi0FvxPExpX5svnLbdrUUn0aket8wboJWf8xmgNKtnVpdFMQF +NVx0ZfHK+owk9rxv52eMUxOCDPa1J0JtdDXtwBhOViWuNJeN3sJux+7EHWCFT3lAh03+nFFJv6rV +eD84EDGhoRSGMWwdLU+gJ4OtTGgvuOk0eRQP9BZkkA/XJRpVXLg/qtUeRDJPVTt/kkyFaTyxXUIR +IboTk7SipRJFM2w1/C3aOn/gVRilDV+3sAqbz3UqNNS+ho6gh3cbDvX2mzmkA9LYZWIcZZGPmW5s +YhYW5e50NpO6L7cQm5g5M0f+KnSjcmcMO581GwCBVNmJo0gsEn0twPW32wpjuE5td7iF/W0ilvAw +UERwIU9Ah6Qs0A2Pw77PEJFr8+TCXo1PIRlbH+tRWav/zDFUEI6x4zQqJSncAM6lVsOEu+N8JQ8g +wFqdrvTmP9CX86/CEyeWCynJCv8s8AnnLHanY4sJrUwTknSUGpZF9QLX89bVwcFPJ4eC3Bt4kAPs +peXmVSq3uK+9DkbLPsbQ38COSogBVAxcpGrtlh9B50twbGo9Nvdbdwrbp3HvXZ3PO1MlIQbzdzkq +O6gU9fmpEWwWL0jcLghthmh6bDYTFqw9drRuY7wF/DkbPVZwrLpY+qlVY+WhVP4xvCcMvQvouEEb +BdXW8iuKDzFuWIJ89t3sftOiX6byTjzMFzeRhjIWGKG5MB2lqrKmod53BaQ/s6cKd2W3SsC5h6Jl +AqSPwpxm2oKzbVT62ZC5ckBlPK/23sj5sPltrREEtAyaLqFJ3c2bn0VXS583LeUKB+EBV20ZJ75n +W49B3v588OH+M528M4TLbU+dtHRnOlJQ8nJx6cU4YBE3oz8rXMirXuj3OEOry1rqYVMhx7Fm8h8a +0U8t+ZA9YoTe335z7M8dyXKiYvKeSdklzNqxH7l/EdTK1i9kBHvydfWauFrlj4DMA9J7WWeCuFoS +x/fENi6U1w/xIhsOakfSg2bPkh5/hWvwWO4s6GmRHHXcXQNjKMPsZ2D3CRvLnE0Wm8UzJISfh7cT +LUOpoyV9S2EWfoBjvDoFLsaD892ig2UM8HuwvnbnlUchMHzYbdOZAi+0LIrSWvrd7lX5HBgLYfPS +VqKOyQmcwk32XGz1XT13/7Lqrt+FMn9yZXvS3azhB3sz5Jy/S2PusXqktEX0xP/LqzuGhim3qIX3 +5kpDhAl2M1+PqgT0L4DEVhUPnkK9mOmkRHSVbl29+anKr5b5klB8tjAcF3YPCtTlY+L4m1gR8fU1 +vn7vGhGsqqxiU1NM39Unwu66ikAHA3dhihlw/KTk3Ha6rhDC2CsqqVs8Pyq+LdweMLjO9EO2JEay +gLBgDWx/W+p1XvjqQVoUxMyvvL6HhrANXkc+7fAlBnmzdfbvHIXsb9xYXWCInZqCwH38g897Qfx4 +aTY7I/VQEWQQm9c08edIs7YpARDJy5ZY7S0Xnt9GKeT5/PnXIBzW9ONHd1Iq0yFRShlPLzeQoTCR +rsspcitZF2OqhqIINj4kYL+GiWfObIiiK6YBizutlq3Gud6UD2Zqfs036JsXXa2mjOV251yYDu4D +xivjyJajRFQ3tSUMSVgRtGKDID2XnD3RtIF9F4YNjz2i2KT+mSycF5vF3beYgWUPwdYA13/3X7IY +dg5XRfMFsCtQGBsGOYmije9oBiZRgWhlbpQ9dw0vxu3yEt1S+D1Z0VDLsPtnwcSlj5C+MyW23BdS +Wx3A/VV8wCdnaxaIY0Hg3IW08MYb+tpCrSYNr0P4yJNzHKj0y6lkkchfnQGGdRixGrOF5DHWrshs +R+JOlgXXQ1/+RJio4HNeq1kdCsWqKXRjqjimwvOqxpvKnKYL4ZXkp/5SGkUcLl9A8iWu2HIrkzY9 +0TLKbx+Elg4JwrfeyBHcPFnRMVgz8+U/0okQl9wQTxhe7Xfoqtk9E06h7Fg/wlJv+nta5JhFUVKL +bCzfJJxf6mnZkkGzDKlApletA89/h0q9ZbvfKIWEFSB5yHieKHNOn1AHom0StynKoyR/ns55mTsV +ZC+B53e/AsieK7jX4B1S5h2oYXA75qi6AUpm0IjA1t3od8CPNfdeprOqrOKt63vHABptqH2Db98P +tSy+o6luuD/pvxdW2Lfvsk4bO8h/yUdI+eothwJaYL4yiXcRzS0WxXbxa8db4W01Zfr40NqYJoPo +4x8m6p2M1HOE/EzsYSoWppb2CQIJO3q5lmSEhoj3PXib+xYxRW6T6wp9oZLlwb2LWvLUsdTa8INC +SXjRlkY9brua0QZG6R1c58AW8Mbz18L2hqIlpHOaAaAayCd52tgkHpevHOz1BYG0ext5u+rX/O0+ +iF5N+r8mfkOU8uX5l+xnTvgSkIgud5zqO0CsBT3kCIjFE0w47tLL8ajoqdMF7SzNmlxK3dMHr3a+ +LBEYElQpzDTPt9/FR6TlAAseZOtpz02+9VRKKg08nqJi6Tn5YgrtdkyB29GplTfTLWC+kpWmPkaC +wVW8cv4CW2HG1FgxYYPFpCypavWPMSoxOWBTWdUj6bYY4Y2W9VMdddWJs3+Qq+mLkLGWoaOVEVsF +CiLy2G+2FCF/G8q467OAxZIjMBIFK8q/Mp6dlu3qOwAJuK4St7Rfz3OKZ1Iy6D5AiBNDt2O+/xAw +C8H3MxwWY7Nr5KMPYLLNCEAK2/oRSdkjw+wx0SZT7MQLaHglZcTK7E5ajQMGXwz8u8W2GstXLTgX +xjibxS43qAG05/jQLtsrQ/lieI9y5v6rfj0zs4uUmFEnqG9MYXTH9BuSx+lTtABhf7XoktXuEYjJ +UARENM/eZCtzTLTD4TEnG+6i0S1xgXHWU+paVCMLlX7WjPNHfYehPKOWW4ArN7bmIisxhltBjLu/ +4MQv9cdlJ6oE9hvJnYPRIEz7IhMRtK8DdIkkW/D41XOZun/CsKP5epLHrY9njy7iV/Rqmcc6ME25 +3+Xg8awIeI9eATaZYoGxhnx3lPiU8Qrv8WjRQ3nzn3qUTz2NACaV1fdgoWD9BD9WS9POFanZxNAD +CuBxJf5Jcb4blNANNYTvTr8SmOVj1oYly8/kkGjZ/gDaPmrAV+cxuo8MVfHU1UE+eptfrebFfybo +p8w6zytwo7RVSLwddULZRRGlNgwlp/H5hbhP5pI0HYCYBFEwy1mPMBIsUNScnR2t117k2P3PvhPl +9boTPKqoQZCpROVdVUCRBt9+hF+JgtgTHxW4qqkoUdIzIx+kTKRicyQQWHgEo2ERztVzs2immTPX +WfbCO2JDD85p3dtSRHEPYh1zFlSeBpWq+Alym7BaVjfMCewXTEiGtih0vdxK4fHSa/UFDIh7nBWZ +G5dUm7mQ9+h8vWlfsm3P4sJJCtaji3KbFkXJKMFiAUUlVKt2nsVWQLFtQpLHjDQP/nQdolsz8oqN +zV/QE3URiXpo1hGOr0gBZe5k2yeebo2X1HFEQrQohv2iiHWvaHCLlOSNoAHUZJ6lmu9HOzpqxYPp +Yr40PZe7kA65LG+OdXED3Z0myKyd4EY1x7LdZGfhtB0HUSwMfxWyg9vH/oIhQnV3YVlx29KHtP+8 +Xosp3FPoJXG/kWY2VbY3fAeRLvUaPhV4fwhIASr+lQ8/xYaLJJYt3hk23onS8mRawqszLpFgiXjB +5yI7VVQtVsFVtZNIFvmQn1q8TIvWCCsMIUplSpMOb0Z6FKZtmU3bb6Bh+deb9KfVvBBRL1aoynrR +EVaxTiCoMIEzQySjutxMMaxmHG0tTUtFO1O3onKYFWwGHAhZuYyr4dNOtZfmGCtG+Fmea+B3znKW +zIWEr4QjyRl8PpZuulajbejykk7zVcWjMYZipAB2FiVj0hTmuCq0p+amXA2fpguIZ9WDTFDNE7Wf +/Zo0YWdMccjeRyOApPW5Ojqj0qED7SQNUtHUcAE06duhM8a6lnn486Dcka0mnKxyDWUr5Kf+nLjJ +zEXGT1+C+K12KEq/V3nPAjihzgUbNV4qGobt/XTUtS/m/giouKQxWDxYYNr76pvb/8VwgYu4txOL +hINVGPd+qtbONwi9FFj2e9DsXGo3InGjypLaSYBb42005fAubf+2+wIW2clwMTSW/0+0teyxWnkX +qgYzzFjWAxXuPN2p4khFWnefGAQIZWGQ/7K6X+aE6ntoJf9kaodndbiD8+hxZqln6YrRKKLDpt5P +zWpBRJJimzVVDQRdRbOTYYg5VZjFWdEfgxO5v32zWC/6E/GYDBTIWSYiKtuIoNgyWOTY+APKQUu6 +kMpgW6YvKXYytWK1iFBz88EaPeE5HCg0uQFvjj9IyvBk71TdhcrJPksMGBLLEGxQN9t0WNelBP1o +BhBm6R49ompUoXkTXEQbysbrFciyLPlQnojlZ8UqvF4Sb/2Ka1Tc7gna2VERwGTJcKEC4mNpdlkA +UoHG3Nrr1Jf0YquNXg9whkR8Ltgltmn0WLDnarXpJw4RtW1GXqIKR+PacutVoNd/YnGneZEZ7/ZE +JAybA7wb9WSwV+Y5M8amUkvr+ecBkNBWv/FZxv3RSQiZHaiwbKvSseqkaPMIZ9NDs9iL+wO2JkLw +jJW9PL9faIQbdAmxnhkAtAuwnAeoBMPB5Wygxj3gQkZkID7btcD1W/9aVakE56tKspXpnRpiyN7W +fv33MBp2oO8KF98WL11HWcakYN4khr5UhWf3QPW0co0sszTxOx0NLJcwoS2uuXZKQIGOtLAYSTSk +me7rJr9kI9rkeqymW18SWRS1VzP5TbNdYvuDrMsIf1R8LQTsLOMhS6ltPC0g/yqjv29TSco6S5Lp +K1nx0C2QxdBHjdUa05QI62aSCoMEhhoeWEc6ESUOMuCYI7zpGqR1/bPPzXPWlZn9DJgPXQDHk6ln +3zQulZlP6CS5MO2b0FZQflsW0zJ3h8qNmHxAb8INwQpsNhdR2A+SoSNb8OLjZax7hepSiTsEja9t +09EueNx8k4lMCnA7QN567+RdBhszJv00G8Pgn34DPsr9Sh0HUYkHIaptKuyBtvcqiIMBVDN+oNyw +D9rLr2g0Kof7sjp4+krcliWfEzAZDbIPQMc1ilPVoZgGIwMTiQKENJ4mperZlvA5uHunfCrEFYV+ +bXpHS/LTNS4v+ZpppvYhEfvmD/PLeDUFF9stySdFNlU1uvC3EwsoskE28dChhYOMjz1t1uqDvNnq +nuoke0U96DkVLU1d54P4gtse09MDESfBZjGnV93/xleWd1oFmfvEQR1vxwlu+IKukko47XJe5NlZ +V5pOmPYE+h25+EQZ8MSmyECfCVw8nJRUNvm/J4g0Oy1vSpUXKQSE3A+urqQncxkT/dMBCA/zGmY9 +kORWA9gaq8eky9S3ZwDjoszbAOLW6yMhki9MbABcuFXHsgPlxH+6x8FV99OmdP6WSRpNUln1WsWt +UmLvIzv0VgimXmqmvHzyfGsbkkqC70M0droLU3DkQtdVi+LMPQUXDrZxjaC5Ae+geJCVDVTIDN/B +PFUYbfQnZxCsL7udP8Z5KB5QnkbmmXiDWRy7PTWZqf6Nl8BNf1XccgwhN5EOO2UJgzj38HIRBKeq +9ikEEKbasfKpJNlQRF6LxmZNXtkLuYroxFcylYqJgnS3IalQBGX+FhxmU9ENOo52UL0Msbe2ICYD +l3fxtBf0iuCEikI0AlZYgB3XwSWzzql1Dc8rP9btxerjoOKppmbwe1TihiInPlafs2kOHf6a5KdY +N6pFp985J/vFmPCSdVCdKSurSsfcW6H4HXDoIRz6Lwac+B311ljs4wBcwqFSea9vFpnHWEpF8+KA +R5wJOxnyBpf9BX1oCmc8pH2y5vIA874J3kRxVax10i/aQJW27oObq9Q+Z1hAnoqn1yqMCJ6hqxe8 +Qq04B9TAGqBtcJoDkiBVbQeXjSvG05HVSdRfGgNZgF6HeIGkXUIDwtVKBKx3uKCwfcdXIfnb9Syn +8t/TiaJ5NfVBRMCuyBPII5QudaXAEz3T/5mXBVFSvmYhTDD3alYlCQLPYKfat0Pb7+wVmL/H16Na +NNxB+5ovzasyBIV0ZX9s2Xf1DB1gUwn8LPRvU+K/eukeQuYZjHEROkyNesbrjEIPwVFbgZIXkjf9 +6SjP9tJxI43KuddUyaAnTQIxQ4Goc2hNei8hvw1pugAjz22o7ASUc8OgpTMhHWXlNWvoVIaWdOSg +nHhf89ciZjuUStvhWjjifbDEshsUN1maAWwUG84PWfag2POCsk5c81COIuCOUbpUdkvtVt3kaXeB +60efUnLnyXMApysAynEOEI2HznBLFttwnRI2Uqh0bqElGS7TlHtJ85LeU3CWEXD/BheP25PI7wK8 +xKItTlk6xeTZs+JeCY3jGR+c9NaEdN7+zR50HLveRBusAHUc6F6I6wCVtKiteevjH1Nq6TcUKVve +eWAIR0gtMbxYMvvIUNIUjLi+QP2c0uqCVMR6BXIozleGxM2l2R1RrUkF05kUZhLn+WqVZovJ/YqE +xAu21X0qdspjjgkEm1ffrczfR2BQigOc8V/oD4EttsBGu9idQBpSkCobdNPF/Seg19A3QsBmlg0s +Ei4tMX1kTamLJ3KNd6FRm6xa3B6iXVYqCrcfoL/db7AqrF3bOW0MC1g1RsKYETDO0CpuHp9f+hSZ +fibEu7uA37TWuZNoyVrXB+yrB4hIjMOpdjtz4tkhthtzEhBLnXXdgiHkqGDeHhbpxjaM0h9Oo86x +qtMngnygL8TwYj4fyE08IRj6o2yChd2eYlj2ZM69WsfnHDQ5RqSDQP8cRNLUWO357fsCp643Uefo +Ak2rl2B0T9zmNDFJOU6ibYM67y3lMyi0uXq7CeayzShy0KHmJoj2/T+ryI7udNPwXxzPhXLr1IhF +Cd9oOBQJIsF4l6eSu5kFxpkPZV4dRcgBGyJ6bB9YdboTrub3jrVtZQwV3sw5EdgkMBkewmOrKNND +7E6Bisb9WqYbctiPIRIg0pLtInFaHz6ulacKG1gbGqjnBDDgzU0aLKMyD7ahe7ooF1pZa5S4VmTQ +iehO1BnbFV9ldD2saPfL+XKBAsAK9IL24HHH885VRjF6IkmPuQFXYT2/UNHRArkHf2C06FWH5GEu +D6vSdE/pLJ/J0pQUndOOh9KFcMaUbFOGuhY6QFkfaKb2ZsJhz4bFm2c5mDaTy8hyNevgDmhZ+e8/ +0O64PwjphqPqfRUDPpXWZ80vAhP1+B9ay4/L0Ipk3ku9E7BE6saZckwAqwhEdQ9/uMYS0sf3rTeE +SHDxrcVVHnU2Da1FmgigZFLxMSKMc7BBAziD1EfJ/30Gh7TOR3nzH1ga3Z3lBJobSNWAl8LSZdad +4MNS9QLff3hgTGVPmUxV7PLNMI/P2kNUBa1lkaz9O7d4AMbkxsV9iqfHG8Qtqj1aRUk2X2kJymky +kg/+asKF+3Zhwqj1I850IPviCqomX43gsFvgKWgTFQ93ez9S/kUiIsPqHARQiVHlWHJOEUVmOaKg +/ICT45R4Hs8VhkCcLKxsyVL7QdIKsjh/zB1tEWNlecIltrZIG7f79QXEW4kB8ai9dk0Gb8oAZZJe +zawlkxlSlEbtD0FmK9wqsZULEetwVxUso+0LiXYAtYrBnVS3w9jgO+K1iY1cJyGrkZkqIDV1WMj6 +0Fe979+tQzd00V9B0Lx2lcfByEhVTSbBF9ywUEGF050X0Df9BExxiSsT+FWImR1zS/Tq/mpN+VxP +422v+4d9n6u7p0dH59G3DTNbxyyIkzqEj19CrbMKhSsOqTlz/VAAg/dv+6NEF7hoB0z0JNCYNJY/ +P4RyZKo00pt+RCW7mCPqXxw/U4Blk+g6pAYfqTmjamgXBg2eZvz/zJDQnHwoNId+g/oW4iRyGWQA +LGap/aH+6khdPhZCkF2hNEDVNSUvNo5KAvj1NsAMkT5btFePUaOKo9KFlyF8C3axFGEH7HTTl7vp +K6T+ygXGPWj5YNNJWTzp4MQqjTEchOa3/H0Cet/3dh4Lzv6DoNa4/WG3ZL1rDWPoGQg53UkvKF9w +mXV5xKiWFrwp1gl3PAaSCIUYyFqbLHPS2qvceh07tSOUEccdYBjUA6r3xnh76jXaz3KZqXcrAYK8 +VexDPB25hio1obeFKmJL2MQEBP08Hbq2vNsTAgFvWRv0OIVbNMLxhDoYIlrSdqkiEvs4nkKlCki/ +geFb+1CheFLHVasQNDpDkG+6PLW7hG+bWYAmscPNDzFicDO8j5zcX8PvfLMu2EZd6qmvucY4yXDx +8xVjMEbaPRrlKTXjOFi7gqODmmAyapMPIX6KuDJaG12Ew6ig1P1TaJRzCCQQnpCKq/O3zeksojMK +yckT/M25Xx4tEcdPY5lywffgncwABs63HXa/S4zSVc/Jc/U1sPkLkBlDjqWrA3vLYpYNgtdOZbHc +Tsb86WcXzLZpFGGUHgOYQBkgQNn9HSbAsdYUeb4DGQF47Mn+06gltF3T2d5HY1viGB/v0I7bsKcy +ZdMPIL/fSpmZ1Ywh9EchaDxotq6LM5DF9xAk9vE3OqNMRjYlNqFJb7L1fEy10mEvnSffcKKx1RRe +cSH22kkXg8dKabwakdIlSucHwkvwhL6piaRpzOHNKTzmCreko6zCyisULqw2xEQWz04+xb0YaFvA +6W0KSDu5lm3L+JmmaRU8cJSRyjsPUZG/0qANUTmYv4kN1AUITiUyUS9szNi/odsNNl9H6RsxztPY +JOXfZy+qf1R4IZilJlX549yg6456L96HiERGbDfw5uz0qtzkcEXvpt5cRcWIJdO5uHgve2bLvChm +bc4EBWEmEK+B3rlGCHQ2q54nc9x6OCjcK8SCqf6JfCZHEkTJ6kUkT2L1C9sFVp2+TzewYRSEr62w +HTLCfYmlUcX30H5kLuX6uiuqalSOGU6qzhVJriV9xsKwIWiAjg6jwScLzotFAWe9cNR+T3TFLjrF +DpID1Cbga7HLuNt4icZTnGjZ9bp47pnM+IwxjlxwFxW+6T6Hj9u1+023Jb76pecdJ+l0S78AUDd9 +f4ZLLGQEpBc/6agp8nAkVmYTvlpCTwL2wsWL0Zr9Kz8VOK8Im2Gj0WGOz7kUpzAPRtFLbO/KdQeq +OzA7AbTHzxCLtP4YWgtyV6Od1FsCfCaGM2ZlHKy9HeW3nWI8alEyiq+cZnehUEB5tUAJL4qjYZWk +ztMmpGN2pZlZyiG79FYNjUx+GUJsdUK6ha+Gs7C3LnznUTNwsDn2I6ukNzdaBbordnEF/oaTznrX +AXL0K26Me3R81njxNeXRnw3iV60T+wG73tKrIcErM3tKa+ijpan/rhr+V3wftD17ekPECXXNK+g8 +X0+XAX10cfXmoXl57iYOIZNnZXifE1Kr0kK1hR8llEpsp4j75CFbrYXaspn34Y3X6pc/uoegeRaY +8EjRt+n8xl4SPNlXLDqD66YMPTvDys9sKC6Su242yJNnLcT+g/XsjWZ1wShEIvOvSqOIyZGK+4ji +2wbbE3bEH3+SVJt4oN1d5gycgxPRrjeaVpo5bKgzngYKZYjZ5CVbEz0ObexoRa4aIj9aRhwtThF0 +jdjV2Fq9m/jYUfn6XItgjT0QDVIokv+/hMAV5zaH2XitTrV1IEHxCsuH0eVvth5p9/rg6Q7ecTBU +iMUCTdhxb3i3P1XtMipEbyGIdCkpu8LCzddVed/uP2YnXo79bnkN98+7/9Tb39Cb0EvilBxnCW8Q +GFa/ibtb1PpJYcDgaOOQIM59S+vRgLRAPVrFLfR7YZo5N/x94kThr0undRzlqJ2D3V6g63ePdjvA +XCooEcQx1TlwleZsny0Kjv9UgrpnScb2KeXNTETzvqtaAVFoWb5qABy1wBjIjxEhdk5zkvIw+xlo +FJ7Y8HXc1N/O3LfSBLsMryPQaZSojJFUAgGvhD44ELgy3MkzKZk6451vc6CsHxngblcCRKJ0ge4b +h0dryUrhn6SBJKjnCo1nLwFaFTBRLxsYfDQ3WiJGWIJMhjyKFi6XQBbo6s3efjimO9IV0iQnbq4S +hWiA8QwS/YQ9nVOE2bPBq+1TZ4v3KxqiDvla8TFusYbNO/auXvHpKVB5R284AHD57XfjKGnKoWvN +W5URC7b1cHcoaIhgtmm/bfKVZ/pjkHz7UXQzJReRXPdwNdC5ktzexgqedbn3kduKfse2a3XEjvql +UkKFulnhmiMr7oWuKCRWPiQfzBknSOx79G/uWfYIlNv1tmgkuzs8XpUvnVZMhAZLjpQStF5tMDGM +vKsc7+joaWk6wEEcFB7a2Bg3aVP+QUdKF5tfakjQi7m2lY+pe84UHm39UoI/eNfct3yzfhy5HFAJ +cjOu3/XQgCBA5XTCybIY1cfcSMGA4sD7aF7Iia72niKZDIxcOy0IOvsIa+892g0gIrs2lo+fZ8Um +ORZU6+fq+oem8iLP3loOtu1LHEy7ZNTTlVDSc3Z3Go4kXXiDspOsBjrBt413A3LV7QBvMHKf2ImK +1mnHqkkNB8YfPvJt76PtqKCsdQSvYfrJNCJSNiq9y9I43yurbwEIxPtuETt7evd0KSZehoTCEtSw +/iS0lgmNDDtDCqfVS+AWE33JQY9iLINLkBq1EUWKN7jcV/tcIczPknZ5SA5WTIWLgvOlOW5ePJ+3 +9W3MAttC+LA2XrxCFLq0wPX/0Lqq4sRrke9+S9rc/A4LLo6O7v32LCf0pyP8GbQ+fmE8H8wkJ0jY +5jCdicYNK6+CdebAgexFROyZfkX/EZl69dHoqbx5vRgRSKno+21dCS9Fqk3BxEIe/BJJXdG7PLdN +5LdJU2dUME72TTFA1GamHtwwIGaN4SrGcwRoDNqUZ6MMLhrSRXhT+b8Z4UHeR1Kmloxp3TC7wqOJ +ikcLl0rFisugLQTkITiBA1OMsmuhomdnuFTTFe3l2+J29YGz/rk11Y+PRWfKXL3VawPVD/vjoXeq +ODPNISLSkPrVE4dTLzrmShF2r62UxVxLkzvrPBwVfDnIpDUT0Ycv4+Y/cxklt8yEbMJgbwrKapk4 +Xf7OIWoPCsQSDI2ib4xluOePRSvEQxg6wQJ4icmS+K0FdEOljoiTBQ3sEWJWdcml/YhyF2alaTcX +7SBCq1WJJm9MNOKdXrXEBGFX/1+2EtNSBiGhrq69GQSTLF9rzBlYpZbmtXrjV2SiIT2dr33/vrxF +PoHvD+1Sm/LFotUlUuminenBF+QQRIdrRRMWjkUqNTT41ssLXuNIbiu7sTD0nEhyFhVV8BMo+xVD +DoEQLL6C7S2MX3xtT6TUUBEdVtWJs3OKXgs/AOHgkl2wLUdG8qW2ujr4RCAsWUFiu673ZlgRIYPy ++nAj2WYG0jngtNSYrsoTokcoArPPSfFtlVDY4WgXLsLMJFIoiw3Z8yj3qEEzdkVXz2SxhrLI/HRa +Kuos19oCY5/8wuRz/ElLcZzmrUJ0UJpgNHDQImyJaxEFqejbQu4HfHY8cslk8u7GhvXD9k73zSFs +SuFYJNiahzgrzlXuPVZiTjob6g85FVo1VkDPJJeSvuQdrpTLhviBXEYtn/kRL+Vllik0KXgIxci5 +8+cf6HwRrL154MNS9DBCN26jlcTbp2wvnQhgYrx+XKgQb67pehCpDKy7Jjwu5YYP7KbvhAyhefzP +0YxTzg1sUapaqW0rFOmBqWt+5RRp2qs/yiy3SrOv5mTDRVAjtnPSycxbMKp1/fHdSZnvPjQgRQz/ +r7DztCjVzEcRL4HdAc2Dc8jV9EdMpzE+YaIv35Pxd5gt5otzN63hPIA6r1fGCE1kAJevO/lxRoOu +B+ahkVtFcAunb+MZkT5MK+0EAhSNE4hPLITPtlbEk1sZckiEINAMMp0JATa0iq+gMRjRvSslWegH +EkzayKQ1UQfipeW+QW0VqL7HO9LE+B5p+X+dgurddtxGHqMwXV9beOKQkqAtz583pg+hs4/JXa8T +ue/bRP/hEEa4aGoeJGyDihiOy2Kvf6OpKgPWpjaLIArFFuUUMkX1YS+rdYbZsY5h94ZeKGwPS4BC +iS1MZJ/1/zUJdJm23YMfpJKEMlCUupwM+43IpHf70CzAAk4oASvs58K9cW9DTku0yVj48w/XwrnK +grkvDxJNXP1hg80Um3ehE5qr7STdAjT5xh+wGZkwnY+txsEK3fiyYA268GvRnzjYpmu1NfWifvIF +srf+LULo1YA5o+Mqx8U/HX0eYSG7eIzA2tdRZCupGlPJH5eo8dzH9IMWcnF1Nfq3LvBTZDKOFibo +oFqsr5M+jx+gRrBbFH/LuNQOdgElYjngtW9PHzZMhcWavr1eL+Pg1ItjuN8UBMBARH07CfATnUlp +Z227d1o1Fv3PjBdQDgRNNXuL5zPhbKiskmSTUybu9uDQFvnGfdC3HWKkhnCl1DxIIK6PRDJRXhSh +hC0One95c1JxOV20lk2KeemKxZwL6FEIfI6eiI9zKVY+gYgFmfvf7d/3/hXgcaHFUFJR1gHawWZZ +0yekDLEqoQou4TRGGamInDzvDQSqq2UCCwENR51Q0F8srAyDsSb1xwUgHrcG2/i9WewlumgoS+Wf +QrIgUYLgQLV6GMe67qMIGZ1kMz3VziUHJe4lBOjpwLc2oKYjMkzzRYVhd7TiHBsSvANtlslV4tnS +3stLhHNfOE9Qb8t7f305yVIHsTt4b07nv+YVkvol8JFNC6HbTxxPug/LDb7xF6JlORj5DRtgB6V6 +OULF+bVuRKoLp9PQZxYqAmFq9jqIAHdjmZqLUQ3EBazA1axw4yLwUQ4R59xYRCe/wlUeW+1Iatu8 +G1ZNlgRxnbRpXEaMYC1/rxrheMLT2A/TUAFqdeG/bsFOz+DlfolgwN1j8XhM1iBm2LMi/CFH3znb +q8MBmvAdmJb7MOnSvHolIgaPbdvlXHbTtJSPKmGovglfPWmM5j4nDO9yQmsaE38flglikOwOoat5 +sO4DFvE3ZAezVhdqoJ0MM+0I7Sz1LxK73lCrh3pW7jeU6CQWQQbgE5GZYDzjPEkMKGtYrTFTFFQe +XelDvMk9WmdOoLLK6ZfrMjBz1vwOh4n63jv5pbehM8+6e8Kcz/poaNycdaF4TymY/vnSZAJyedGA +kAMXNZZTjriR/qwL6mRMkJUCDVjcVM8kjx/aPH8ccmdP/bLaqLR6dWDJ/v55XTWUBTXrvwfe7iZE +ClsvcOBItWkxynOVlhT/B6tzOo/yIidp+CcwgwABmSXg9dMnLSE7Jq/ZFhyKXET7+15b1O/Xg+YE +DSe3JSRjnCgEwMk5I/DOMOPA350XO7Z2BBczALBdLhYEoTAkoRe3a8bTa++No+itKsBa+bZ1Tw2f +I0bRoy2SiOnwW8GpdhjzIOM9gNR5AOSDn6wDb7vF6CI2iMD7tYrpAf+cqGXlFrMdgaBAcG1MYsWS +FtQQFpENckhXDeHObZV+s4iUIHKStLrsZaLzAZ+pBmV6al1N0cxfCFjFqEtihZRA5nKy92JkVwDD +Ef5U0GJI1Pa6vBm2olPGqC5ZgnJ54zk+uUmv2G6wk3cw3XebFEEoK2UPgxAt8FtcSz1GGUHB+c5G +MM5c+J4H1jMpM5/YfXAQUrsokNDIFOPv4M1WtpyduikaOXDx/enSYrmMYj+X8p19Kwa6X1nbred9 +xYqgdheeBGyPgu20LiHG54cNIyUSABWUii4a9bxTLErhionlouN/9CWK4WUBLoSvBJC27j39AJip +0jjeHNsaSpnOxEzRkB7I/ZFEbtOmGNfj3UokhILGDhQdyp+INm8pm+t3Ly3PUdNffMNzzt8g+YxY +Lc3JTiDxmlUt40D1d8HLIB+4bNS5gJv1sIdNmJ9oOjJB4KqAF/HCvaJjf3U60+Uh739YivIv95WF +BWGrA4Agr8RCYzAIFHv5Wz9nb6N2mzsF5TZU1owQ6561TUbf0ozP7/nSpeshUjYogtDaj0LY2olr +FaubPRSMVoSwfhMLIgEpQ+LQ7b3doYhhFH/H4mMvxUhHR1GkZCsRxqYzWuhulHZeORkJZxXByl6T +SRwOqiIKMZKH0fU3ZqmWcBCQ1Zso0iTJfYafhupV6530bvZkkVzY08K1dK/Nvoo5GNZnX+CesgK9 +UqUnyjlBd521CBuQTbZ/PiF2/8RMLVgE1vxffCeJRFocd7kRu00FGreygSzMG1H5918jOMhoO3A7 +he6gG7zIXWrUzsEAQJ5RCKANE97IFOz5g82vY4urO/Rf86wXd1VinMKiBZIGdTPUnDIFA+1ceFyz +Tw5hag09GyR8yOpxECiwvHshxc4nPRoIWeTVr8gqGJxqsVKxE3j8gp4z+xDWxXy8hPc5PQMHiAfl +kGpIKLdNJ7qhZSkqTX5CH/JeDvNN8+RNWkyIdzhPIbDy6wgObLFJuGtmX1kWlV0uBFmkjyOF4X0G +c+tA9BqUTjHv8nB8lt+e2kWe7E1EXYuMU77DZqR1u1FdmLIXGY6PbFHQVOS2E4x8rgMg+cDuXPI5 +bgUtlkIXBzwmUMEaTjsZA3b/ptFi8DjH5GlKN10hjiXc8CczHi2Lwe6LKeM1+HTvu5VSLvtXiSpc +R+AdIVzPQrgbQnbq+wSR/VVGOi8Zpu7YWLnQ9APCcuPmRz1gWCNsoEboDpzAYcypQzqg3+AuGDFV +ZDyNXVj7oBuRxVpVrtzVYq2lf6HVQArE3TtWK+n/TEyAtrbOv66TqtsIF0aAUUS/MKOQOhTiqXx+ +vX3g9FiLokvTqYfQyliQxx6XyuncU7ZCH5Qi2A8yf7B4hTXSRqYXHMPR7lVs0avKcJVi9O0hVvWr +jUNUDXk8w6aUNawajbL9W25l8NTNydlJ5S+WK6hhX86MNN0hMBL4V/4nKxRTK5qsNACd1DZsQJnZ +C019beOgR9WfNm3rH2eqPblb/HLwL798YZSFYCu8j2kuxZCEaCHbrHIg9MwWZWUJeRxplJ5DJvQX +8MKEr0ZrrxO8f49eLHhVuBoITS9IEGSHjK2tI0ZtaK5+ciptjnEC18R9/zmcntiRPR3Yyyszpdc/ +/dksEXBBerXGFS0PjQl8R7Rpq24wSm7qbAMsVSSgN4oQ2lx5od0CdXzjSiyt8ewAcln+WGDDLKRs +jddp1hbkRse27JGqe1ihi0Ri7WdEv4ND8W5rQtQNMqxWq0i34qlNVW2cWAC/by/5maaHmJoMq/1D +ChA8WmFcJPFYc886rInFQPQZj717bpZbpHCwlD/vS786gnyh6pb1Wn1HrYyPLG2RMdT9soGmVHrF +oZzL6oMeNq2lqTU54yb91Wm18t9YNxp+G3gMNA6QVPp7ffY1RhfsE7J1+OnlRTMqD811krqKbcDP ++6NDtn1TbptgTUx8Ue18DiYghIkyAMqkNBtAD1Hbw70T2geDIhkpUEQ+9S5HKfMFKxOBtwDF6XcG +Z0W3Srrbg1DOoSBQcCTVid7FN2PmX3uJLpet45b36MxKZI4xYNDYX1JFkefI0sEBeesNS7MNxbVF +qd+fshlAhKs7dWlB/zEepZgALCVkeokzTJQ7U+U/AvRlqIpkxvFKN1F6n7BVMoL4YwzQ9AwQE62L +Lg4tAd0Wvs9hE98p45pbaWRMtzcYwPQQZMzYC0VVSPQYxivc6dniHR5YzxH0zoQmhKM4Ykp/cl3C +mIvd6lCVuAS5FToGslJnsJC0eNsFNtWrKifsyppbFfqiefuvYPVRIVJJmzulhxWnWX4KlsH/PeKs +Q+RW7apYp6Rn9CSxH8y8zW6GMwo98F8nltu3tVRhaldazZtU/TNFfg0YylFBxHlhKmXTl09ScQhD +oQoV9Vp2AHnea7BX+7zH0vU/DDCBtdhDSmpOuV7BwJNF1M43Vo6IzKenU2BDoXdrMbFlx1wQEjgh +XMmMElkfhIzU3JM5rfG6M82mupY1kZ6QgH4Yo/kXkFtWgMHSTNCnoLCJgFYocCIf2687pQAL9Mz0 +rYuE03wBtwU0ZVLP/H+hA7NQQAM5EMpujfjA4AB4rWq3PvZfHdRJMoFovSzfUOXN3oXc/vdHIfGc +t6IJOpDSomu7GW0Bl088g8mUkaDxnih5SkhJgqwl4s5PcSeUvS6bPCUOX0qMHNi2o9rzC4kQxwuX +0QognYBdDidkaGNdO2M0cEI0cwqXEBm7DsEpAz8CAsRmnQjn4CjMpDyoKgRBg5gxyP9m8UKXCRDW +ZUUMixQwglCxcKkcECwCTKQWUs7mAWmtKecxoEe68hBd9pkwMwaIg85x1fhZMKQAn/+tTt4gVbEr +M/Jiuheg8tTbbaGXwmgnvPNWYmvuuQlU+aj0quf8qS9vS3h9wz+UCc9VF9eYNjWQjEEFG6wN8eeX +A8S071c+C6uUdaWcrvZoK75Sn5L/eiv5g6VqUJ5kQiBiYw1lMU6F3mHo+ZU411vGLJHAnQ+IuXeG +wd/xMynZhEBmXr7JSc0SVtkmLGa6NML0AmVRdQxlN2WD3jPMUz0l0xzO5u5tuhbD2jSoq3wI2TSj +1cKQbkjnKPGDCZCaaHq2mmfmirTDHFxxkww4Med1f/7+YXmmx+1ob1WKmwabWCZcDXAQ9HyqsQj9 +DkcwpbrxOpr7P2WW6bl2cO8isE6JxMjk4ybK9ydbXGmMIcFvtzEyx767SPDVLpECbJKYd+fTwO88 +jOxNB1r9/5yP1bneE3sjHV2T9JngmoD7JayC5WxnNdnB9wUfBn6rGFiAD/MXC9vV/js9GPJq54Ot +50A0kPXHV86cg8okFl1gTx2Ko1sgSEfEjjCg20sAYtd9ORX0XSfsahXC4J2xRDaob+RXAaIHCxUP +h0/nlbvVaW+pNjQv1cQ0txV6UNScSTcJ8CJXqpJ9s1lPdCfcatEZWvZ247V6JjFgEkupDMGju8gd +MNbarIG/2bXpovDaw4Us2yBomOZh6FeaGiJRywdv6Mz936JTPy4+ozfdNCWm2wtgAClgHrlfYJNQ +iGW3ZlRu9ERPJ+KuYvTxHwhwd6CTG1Qc +`protect end_protected +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity fifo_data_to_stream is + port ( + clk : in STD_LOGIC; + srst : in STD_LOGIC; + din : in STD_LOGIC_VECTOR ( 31 downto 0 ); + wr_en : in STD_LOGIC; + rd_en : in STD_LOGIC; + dout : out STD_LOGIC_VECTOR ( 15 downto 0 ); + full : out STD_LOGIC; + empty : out STD_LOGIC; + wr_rst_busy : out STD_LOGIC; + rd_rst_busy : out STD_LOGIC + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of fifo_data_to_stream : entity is true; + attribute CHECK_LICENSE_TYPE : string; + attribute CHECK_LICENSE_TYPE of fifo_data_to_stream : entity is "fifo_data_to_stream,fifo_generator_v13_2_7,{}"; + attribute downgradeipidentifiedwarnings : string; + attribute downgradeipidentifiedwarnings of fifo_data_to_stream : entity is "yes"; + attribute x_core_info : string; + attribute x_core_info of fifo_data_to_stream : entity is "fifo_generator_v13_2_7,Vivado 2022.1"; +end fifo_data_to_stream; + +architecture STRUCTURE of fifo_data_to_stream is + signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC; + signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC; + signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC; + signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC; + signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC; + signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC; + signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC; + signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC; + signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC; + signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC; + signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; + signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC; + signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; + signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC; + signal NLW_U0_valid_UNCONNECTED : STD_LOGIC; + signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC; + signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); + signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); + signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); + signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); + signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); + signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); + signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); + signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); + signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); + signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); + signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); + signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); + signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); + signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); + signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); + signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); + signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); + signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); + signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); + signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); + signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 ); + signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); + signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); + attribute C_ADD_NGC_CONSTRAINT : integer; + attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0; + attribute C_APPLICATION_TYPE_AXIS : integer; + attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0; + attribute C_APPLICATION_TYPE_RACH : integer; + attribute C_APPLICATION_TYPE_RACH of U0 : label is 0; + attribute C_APPLICATION_TYPE_RDCH : integer; + attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0; + attribute C_APPLICATION_TYPE_WACH : integer; + attribute C_APPLICATION_TYPE_WACH of U0 : label is 0; + attribute C_APPLICATION_TYPE_WDCH : integer; + attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0; + attribute C_APPLICATION_TYPE_WRCH : integer; + attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0; + attribute C_AXIS_TDATA_WIDTH : integer; + attribute C_AXIS_TDATA_WIDTH of U0 : label is 8; + attribute C_AXIS_TDEST_WIDTH : integer; + attribute C_AXIS_TDEST_WIDTH of U0 : label is 1; + attribute C_AXIS_TID_WIDTH : integer; + attribute C_AXIS_TID_WIDTH of U0 : label is 1; + attribute C_AXIS_TKEEP_WIDTH : integer; + attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1; + attribute C_AXIS_TSTRB_WIDTH : integer; + attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1; + attribute C_AXIS_TUSER_WIDTH : integer; + attribute C_AXIS_TUSER_WIDTH of U0 : label is 4; + attribute C_AXIS_TYPE : integer; + attribute C_AXIS_TYPE of U0 : label is 0; + attribute C_AXI_ADDR_WIDTH : integer; + attribute C_AXI_ADDR_WIDTH of U0 : label is 32; + attribute C_AXI_ARUSER_WIDTH : integer; + attribute C_AXI_ARUSER_WIDTH of U0 : label is 1; + attribute C_AXI_AWUSER_WIDTH : integer; + attribute C_AXI_AWUSER_WIDTH of U0 : label is 1; + attribute C_AXI_BUSER_WIDTH : integer; + attribute C_AXI_BUSER_WIDTH of U0 : label is 1; + attribute C_AXI_DATA_WIDTH : integer; + attribute C_AXI_DATA_WIDTH of U0 : label is 64; + attribute C_AXI_ID_WIDTH : integer; + attribute C_AXI_ID_WIDTH of U0 : label is 1; + attribute C_AXI_LEN_WIDTH : integer; + attribute C_AXI_LEN_WIDTH of U0 : label is 8; + attribute C_AXI_LOCK_WIDTH : integer; + attribute C_AXI_LOCK_WIDTH of U0 : label is 1; + attribute C_AXI_RUSER_WIDTH : integer; + attribute C_AXI_RUSER_WIDTH of U0 : label is 1; + attribute C_AXI_TYPE : integer; + attribute C_AXI_TYPE of U0 : label is 1; + attribute C_AXI_WUSER_WIDTH : integer; + attribute C_AXI_WUSER_WIDTH of U0 : label is 1; + attribute C_COMMON_CLOCK : integer; + attribute C_COMMON_CLOCK of U0 : label is 1; + attribute C_COUNT_TYPE : integer; + attribute C_COUNT_TYPE of U0 : label is 0; + attribute C_DATA_COUNT_WIDTH : integer; + attribute C_DATA_COUNT_WIDTH of U0 : label is 9; + attribute C_DEFAULT_VALUE : string; + attribute C_DEFAULT_VALUE of U0 : label is "BlankString"; + attribute C_DIN_WIDTH : integer; + attribute C_DIN_WIDTH of U0 : label is 32; + attribute C_DIN_WIDTH_AXIS : integer; + attribute C_DIN_WIDTH_AXIS of U0 : label is 1; + attribute C_DIN_WIDTH_RACH : integer; + attribute C_DIN_WIDTH_RACH of U0 : label is 32; + attribute C_DIN_WIDTH_RDCH : integer; + attribute C_DIN_WIDTH_RDCH of U0 : label is 64; + attribute C_DIN_WIDTH_WACH : integer; + attribute C_DIN_WIDTH_WACH of U0 : label is 1; + attribute C_DIN_WIDTH_WDCH : integer; + attribute C_DIN_WIDTH_WDCH of U0 : label is 64; + attribute C_DIN_WIDTH_WRCH : integer; + attribute C_DIN_WIDTH_WRCH of U0 : label is 2; + attribute C_DOUT_RST_VAL : string; + attribute C_DOUT_RST_VAL of U0 : label is "0"; + attribute C_DOUT_WIDTH : integer; + attribute C_DOUT_WIDTH of U0 : label is 16; + attribute C_ENABLE_RLOCS : integer; + attribute C_ENABLE_RLOCS of U0 : label is 0; + attribute C_ENABLE_RST_SYNC : integer; + attribute C_ENABLE_RST_SYNC of U0 : label is 1; + attribute C_EN_SAFETY_CKT : integer; + attribute C_EN_SAFETY_CKT of U0 : label is 0; + attribute C_ERROR_INJECTION_TYPE : integer; + attribute C_ERROR_INJECTION_TYPE of U0 : label is 0; + attribute C_ERROR_INJECTION_TYPE_AXIS : integer; + attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0; + attribute C_ERROR_INJECTION_TYPE_RACH : integer; + attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0; + attribute C_ERROR_INJECTION_TYPE_RDCH : integer; + attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0; + attribute C_ERROR_INJECTION_TYPE_WACH : integer; + attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0; + attribute C_ERROR_INJECTION_TYPE_WDCH : integer; + attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0; + attribute C_ERROR_INJECTION_TYPE_WRCH : integer; + attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0; + attribute C_FAMILY : string; + attribute C_FAMILY of U0 : label is "zynquplus"; + attribute C_FULL_FLAGS_RST_VAL : integer; + attribute C_FULL_FLAGS_RST_VAL of U0 : label is 0; + attribute C_HAS_ALMOST_EMPTY : integer; + attribute C_HAS_ALMOST_EMPTY of U0 : label is 0; + attribute C_HAS_ALMOST_FULL : integer; + attribute C_HAS_ALMOST_FULL of U0 : label is 0; + attribute C_HAS_AXIS_TDATA : integer; + attribute C_HAS_AXIS_TDATA of U0 : label is 1; + attribute C_HAS_AXIS_TDEST : integer; + attribute C_HAS_AXIS_TDEST of U0 : label is 0; + attribute C_HAS_AXIS_TID : integer; + attribute C_HAS_AXIS_TID of U0 : label is 0; + attribute C_HAS_AXIS_TKEEP : integer; + attribute C_HAS_AXIS_TKEEP of U0 : label is 0; + attribute C_HAS_AXIS_TLAST : integer; + attribute C_HAS_AXIS_TLAST of U0 : label is 0; + attribute C_HAS_AXIS_TREADY : integer; + attribute C_HAS_AXIS_TREADY of U0 : label is 1; + attribute C_HAS_AXIS_TSTRB : integer; + attribute C_HAS_AXIS_TSTRB of U0 : label is 0; + attribute C_HAS_AXIS_TUSER : integer; + attribute C_HAS_AXIS_TUSER of U0 : label is 1; + attribute C_HAS_AXI_ARUSER : integer; + attribute C_HAS_AXI_ARUSER of U0 : label is 0; + attribute C_HAS_AXI_AWUSER : integer; + attribute C_HAS_AXI_AWUSER of U0 : label is 0; + attribute C_HAS_AXI_BUSER : integer; + attribute C_HAS_AXI_BUSER of U0 : label is 0; + attribute C_HAS_AXI_ID : integer; + attribute C_HAS_AXI_ID of U0 : label is 0; + attribute C_HAS_AXI_RD_CHANNEL : integer; + attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1; + attribute C_HAS_AXI_RUSER : integer; + attribute C_HAS_AXI_RUSER of U0 : label is 0; + attribute C_HAS_AXI_WR_CHANNEL : integer; + attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1; + attribute C_HAS_AXI_WUSER : integer; + attribute C_HAS_AXI_WUSER of U0 : label is 0; + attribute C_HAS_BACKUP : integer; + attribute C_HAS_BACKUP of U0 : label is 0; + attribute C_HAS_DATA_COUNT : integer; + attribute C_HAS_DATA_COUNT of U0 : label is 0; + attribute C_HAS_DATA_COUNTS_AXIS : integer; + attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0; + attribute C_HAS_DATA_COUNTS_RACH : integer; + attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0; + attribute C_HAS_DATA_COUNTS_RDCH : integer; + attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0; + attribute C_HAS_DATA_COUNTS_WACH : integer; + attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0; + attribute C_HAS_DATA_COUNTS_WDCH : integer; + attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0; + attribute C_HAS_DATA_COUNTS_WRCH : integer; + attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0; + attribute C_HAS_INT_CLK : integer; + attribute C_HAS_INT_CLK of U0 : label is 0; + attribute C_HAS_MASTER_CE : integer; + attribute C_HAS_MASTER_CE of U0 : label is 0; + attribute C_HAS_MEMINIT_FILE : integer; + attribute C_HAS_MEMINIT_FILE of U0 : label is 0; + attribute C_HAS_OVERFLOW : integer; + attribute C_HAS_OVERFLOW of U0 : label is 0; + attribute C_HAS_PROG_FLAGS_AXIS : integer; + attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0; + attribute C_HAS_PROG_FLAGS_RACH : integer; + attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0; + attribute C_HAS_PROG_FLAGS_RDCH : integer; + attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0; + attribute C_HAS_PROG_FLAGS_WACH : integer; + attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0; + attribute C_HAS_PROG_FLAGS_WDCH : integer; + attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0; + attribute C_HAS_PROG_FLAGS_WRCH : integer; + attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0; + attribute C_HAS_RD_DATA_COUNT : integer; + attribute C_HAS_RD_DATA_COUNT of U0 : label is 0; + attribute C_HAS_RD_RST : integer; + attribute C_HAS_RD_RST of U0 : label is 0; + attribute C_HAS_RST : integer; + attribute C_HAS_RST of U0 : label is 0; + attribute C_HAS_SLAVE_CE : integer; + attribute C_HAS_SLAVE_CE of U0 : label is 0; + attribute C_HAS_SRST : integer; + attribute C_HAS_SRST of U0 : label is 1; + attribute C_HAS_UNDERFLOW : integer; + attribute C_HAS_UNDERFLOW of U0 : label is 0; + attribute C_HAS_VALID : integer; + attribute C_HAS_VALID of U0 : label is 0; + attribute C_HAS_WR_ACK : integer; + attribute C_HAS_WR_ACK of U0 : label is 0; + attribute C_HAS_WR_DATA_COUNT : integer; + attribute C_HAS_WR_DATA_COUNT of U0 : label is 0; + attribute C_HAS_WR_RST : integer; + attribute C_HAS_WR_RST of U0 : label is 0; + attribute C_IMPLEMENTATION_TYPE : integer; + attribute C_IMPLEMENTATION_TYPE of U0 : label is 6; + attribute C_IMPLEMENTATION_TYPE_AXIS : integer; + attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1; + attribute C_IMPLEMENTATION_TYPE_RACH : integer; + attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1; + attribute C_IMPLEMENTATION_TYPE_RDCH : integer; + attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1; + attribute C_IMPLEMENTATION_TYPE_WACH : integer; + attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1; + attribute C_IMPLEMENTATION_TYPE_WDCH : integer; + attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1; + attribute C_IMPLEMENTATION_TYPE_WRCH : integer; + attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1; + attribute C_INIT_WR_PNTR_VAL : integer; + attribute C_INIT_WR_PNTR_VAL of U0 : label is 0; + attribute C_INTERFACE_TYPE : integer; + attribute C_INTERFACE_TYPE of U0 : label is 0; + attribute C_MEMORY_TYPE : integer; + attribute C_MEMORY_TYPE of U0 : label is 4; + attribute C_MIF_FILE_NAME : string; + attribute C_MIF_FILE_NAME of U0 : label is "BlankString"; + attribute C_MSGON_VAL : integer; + attribute C_MSGON_VAL of U0 : label is 1; + attribute C_OPTIMIZATION_MODE : integer; + attribute C_OPTIMIZATION_MODE of U0 : label is 0; + attribute C_OVERFLOW_LOW : integer; + attribute C_OVERFLOW_LOW of U0 : label is 0; + attribute C_POWER_SAVING_MODE : integer; + attribute C_POWER_SAVING_MODE of U0 : label is 0; + attribute C_PRELOAD_LATENCY : integer; + attribute C_PRELOAD_LATENCY of U0 : label is 2; + attribute C_PRELOAD_REGS : integer; + attribute C_PRELOAD_REGS of U0 : label is 1; + attribute C_PRIM_FIFO_TYPE : string; + attribute C_PRIM_FIFO_TYPE of U0 : label is "512x36"; + attribute C_PRIM_FIFO_TYPE_AXIS : string; + attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18"; + attribute C_PRIM_FIFO_TYPE_RACH : string; + attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36"; + attribute C_PRIM_FIFO_TYPE_RDCH : string; + attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "512x72"; + attribute C_PRIM_FIFO_TYPE_WACH : string; + attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36"; + attribute C_PRIM_FIFO_TYPE_WDCH : string; + attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "512x72"; + attribute C_PRIM_FIFO_TYPE_WRCH : string; + attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36"; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 2; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; + attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022; + attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; + attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 3; + attribute C_PROG_EMPTY_TYPE : integer; + attribute C_PROG_EMPTY_TYPE of U0 : label is 0; + attribute C_PROG_EMPTY_TYPE_AXIS : integer; + attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0; + attribute C_PROG_EMPTY_TYPE_RACH : integer; + attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0; + attribute C_PROG_EMPTY_TYPE_RDCH : integer; + attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0; + attribute C_PROG_EMPTY_TYPE_WACH : integer; + attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0; + attribute C_PROG_EMPTY_TYPE_WDCH : integer; + attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0; + attribute C_PROG_EMPTY_TYPE_WRCH : integer; + attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0; + attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; + attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 510; + attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; + attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023; + attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; + attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023; + attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; + attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023; + attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; + attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023; + attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; + attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023; + attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; + attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023; + attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; + attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 509; + attribute C_PROG_FULL_TYPE : integer; + attribute C_PROG_FULL_TYPE of U0 : label is 0; + attribute C_PROG_FULL_TYPE_AXIS : integer; + attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0; + attribute C_PROG_FULL_TYPE_RACH : integer; + attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0; + attribute C_PROG_FULL_TYPE_RDCH : integer; + attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0; + attribute C_PROG_FULL_TYPE_WACH : integer; + attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0; + attribute C_PROG_FULL_TYPE_WDCH : integer; + attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0; + attribute C_PROG_FULL_TYPE_WRCH : integer; + attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0; + attribute C_RACH_TYPE : integer; + attribute C_RACH_TYPE of U0 : label is 0; + attribute C_RDCH_TYPE : integer; + attribute C_RDCH_TYPE of U0 : label is 0; + attribute C_RD_DATA_COUNT_WIDTH : integer; + attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 10; + attribute C_RD_DEPTH : integer; + attribute C_RD_DEPTH of U0 : label is 1024; + attribute C_RD_FREQ : integer; + attribute C_RD_FREQ of U0 : label is 1; + attribute C_RD_PNTR_WIDTH : integer; + attribute C_RD_PNTR_WIDTH of U0 : label is 10; + attribute C_REG_SLICE_MODE_AXIS : integer; + attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0; + attribute C_REG_SLICE_MODE_RACH : integer; + attribute C_REG_SLICE_MODE_RACH of U0 : label is 0; + attribute C_REG_SLICE_MODE_RDCH : integer; + attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0; + attribute C_REG_SLICE_MODE_WACH : integer; + attribute C_REG_SLICE_MODE_WACH of U0 : label is 0; + attribute C_REG_SLICE_MODE_WDCH : integer; + attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0; + attribute C_REG_SLICE_MODE_WRCH : integer; + attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0; + attribute C_SELECT_XPM : integer; + attribute C_SELECT_XPM of U0 : label is 0; + attribute C_SYNCHRONIZER_STAGE : integer; + attribute C_SYNCHRONIZER_STAGE of U0 : label is 2; + attribute C_UNDERFLOW_LOW : integer; + attribute C_UNDERFLOW_LOW of U0 : label is 0; + attribute C_USE_COMMON_OVERFLOW : integer; + attribute C_USE_COMMON_OVERFLOW of U0 : label is 0; + attribute C_USE_COMMON_UNDERFLOW : integer; + attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0; + attribute C_USE_DEFAULT_SETTINGS : integer; + attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0; + attribute C_USE_DOUT_RST : integer; + attribute C_USE_DOUT_RST of U0 : label is 1; + attribute C_USE_ECC : integer; + attribute C_USE_ECC of U0 : label is 0; + attribute C_USE_ECC_AXIS : integer; + attribute C_USE_ECC_AXIS of U0 : label is 0; + attribute C_USE_ECC_RACH : integer; + attribute C_USE_ECC_RACH of U0 : label is 0; + attribute C_USE_ECC_RDCH : integer; + attribute C_USE_ECC_RDCH of U0 : label is 0; + attribute C_USE_ECC_WACH : integer; + attribute C_USE_ECC_WACH of U0 : label is 0; + attribute C_USE_ECC_WDCH : integer; + attribute C_USE_ECC_WDCH of U0 : label is 0; + attribute C_USE_ECC_WRCH : integer; + attribute C_USE_ECC_WRCH of U0 : label is 0; + attribute C_USE_EMBEDDED_REG : integer; + attribute C_USE_EMBEDDED_REG of U0 : label is 1; + attribute C_USE_FIFO16_FLAGS : integer; + attribute C_USE_FIFO16_FLAGS of U0 : label is 0; + attribute C_USE_FWFT_DATA_COUNT : integer; + attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0; + attribute C_USE_PIPELINE_REG : integer; + attribute C_USE_PIPELINE_REG of U0 : label is 0; + attribute C_VALID_LOW : integer; + attribute C_VALID_LOW of U0 : label is 0; + attribute C_WACH_TYPE : integer; + attribute C_WACH_TYPE of U0 : label is 0; + attribute C_WDCH_TYPE : integer; + attribute C_WDCH_TYPE of U0 : label is 0; + attribute C_WRCH_TYPE : integer; + attribute C_WRCH_TYPE of U0 : label is 0; + attribute C_WR_ACK_LOW : integer; + attribute C_WR_ACK_LOW of U0 : label is 0; + attribute C_WR_DATA_COUNT_WIDTH : integer; + attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 9; + attribute C_WR_DEPTH : integer; + attribute C_WR_DEPTH of U0 : label is 512; + attribute C_WR_DEPTH_AXIS : integer; + attribute C_WR_DEPTH_AXIS of U0 : label is 1024; + attribute C_WR_DEPTH_RACH : integer; + attribute C_WR_DEPTH_RACH of U0 : label is 16; + attribute C_WR_DEPTH_RDCH : integer; + attribute C_WR_DEPTH_RDCH of U0 : label is 1024; + attribute C_WR_DEPTH_WACH : integer; + attribute C_WR_DEPTH_WACH of U0 : label is 16; + attribute C_WR_DEPTH_WDCH : integer; + attribute C_WR_DEPTH_WDCH of U0 : label is 1024; + attribute C_WR_DEPTH_WRCH : integer; + attribute C_WR_DEPTH_WRCH of U0 : label is 16; + attribute C_WR_FREQ : integer; + attribute C_WR_FREQ of U0 : label is 1; + attribute C_WR_PNTR_WIDTH : integer; + attribute C_WR_PNTR_WIDTH of U0 : label is 9; + attribute C_WR_PNTR_WIDTH_AXIS : integer; + attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10; + attribute C_WR_PNTR_WIDTH_RACH : integer; + attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4; + attribute C_WR_PNTR_WIDTH_RDCH : integer; + attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10; + attribute C_WR_PNTR_WIDTH_WACH : integer; + attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4; + attribute C_WR_PNTR_WIDTH_WDCH : integer; + attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10; + attribute C_WR_PNTR_WIDTH_WRCH : integer; + attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4; + attribute C_WR_RESPONSE_LATENCY : integer; + attribute C_WR_RESPONSE_LATENCY of U0 : label is 1; + attribute is_du_within_envelope : string; + attribute is_du_within_envelope of U0 : label is "true"; + attribute x_interface_info : string; + attribute x_interface_info of clk : signal is "xilinx.com:signal:clock:1.0 core_clk CLK"; + attribute x_interface_parameter : string; + attribute x_interface_parameter of clk : signal is "XIL_INTERFACENAME core_clk, FREQ_HZ 100000000, FREQ_TOLERANCE_HZ 0, PHASE 0.0, INSERT_VIP 0"; + attribute x_interface_info of empty : signal is "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; + attribute x_interface_info of full : signal is "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; + attribute x_interface_info of rd_en : signal is "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; + attribute x_interface_info of wr_en : signal is "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; + attribute x_interface_info of din : signal is "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; + attribute x_interface_info of dout : signal is "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; +begin +U0: entity work.fifo_data_to_stream_fifo_generator_v13_2_7 + port map ( + almost_empty => NLW_U0_almost_empty_UNCONNECTED, + almost_full => NLW_U0_almost_full_UNCONNECTED, + axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0), + axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED, + axi_ar_injectdbiterr => '0', + axi_ar_injectsbiterr => '0', + axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED, + axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED, + axi_ar_prog_empty_thresh(3 downto 0) => B"0000", + axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED, + axi_ar_prog_full_thresh(3 downto 0) => B"0000", + axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0), + axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED, + axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED, + axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0), + axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0), + axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED, + axi_aw_injectdbiterr => '0', + axi_aw_injectsbiterr => '0', + axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED, + axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED, + axi_aw_prog_empty_thresh(3 downto 0) => B"0000", + axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED, + axi_aw_prog_full_thresh(3 downto 0) => B"0000", + axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0), + axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED, + axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED, + axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0), + axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0), + axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED, + axi_b_injectdbiterr => '0', + axi_b_injectsbiterr => '0', + axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED, + axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED, + axi_b_prog_empty_thresh(3 downto 0) => B"0000", + axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED, + axi_b_prog_full_thresh(3 downto 0) => B"0000", + axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0), + axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED, + axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED, + axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0), + axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0), + axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED, + axi_r_injectdbiterr => '0', + axi_r_injectsbiterr => '0', + axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED, + axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED, + axi_r_prog_empty_thresh(9 downto 0) => B"0000000000", + axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED, + axi_r_prog_full_thresh(9 downto 0) => B"0000000000", + axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0), + axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED, + axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED, + axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0), + axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0), + axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED, + axi_w_injectdbiterr => '0', + axi_w_injectsbiterr => '0', + axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED, + axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED, + axi_w_prog_empty_thresh(9 downto 0) => B"0000000000", + axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED, + axi_w_prog_full_thresh(9 downto 0) => B"0000000000", + axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0), + axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED, + axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED, + axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0), + axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0), + axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED, + axis_injectdbiterr => '0', + axis_injectsbiterr => '0', + axis_overflow => NLW_U0_axis_overflow_UNCONNECTED, + axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED, + axis_prog_empty_thresh(9 downto 0) => B"0000000000", + axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED, + axis_prog_full_thresh(9 downto 0) => B"0000000000", + axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0), + axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED, + axis_underflow => NLW_U0_axis_underflow_UNCONNECTED, + axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0), + backup => '0', + backup_marker => '0', + clk => clk, + data_count(8 downto 0) => NLW_U0_data_count_UNCONNECTED(8 downto 0), + dbiterr => NLW_U0_dbiterr_UNCONNECTED, + din(31 downto 0) => din(31 downto 0), + dout(15 downto 0) => dout(15 downto 0), + empty => empty, + full => full, + injectdbiterr => '0', + injectsbiterr => '0', + int_clk => '0', + m_aclk => '0', + m_aclk_en => '0', + m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0), + m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0), + m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0), + m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0), + m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0), + m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0), + m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0), + m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0), + m_axi_arready => '0', + m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0), + m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0), + m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0), + m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED, + m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0), + m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0), + m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0), + m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0), + m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0), + m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0), + m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0), + m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0), + m_axi_awready => '0', + m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0), + m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0), + m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0), + m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED, + m_axi_bid(0) => '0', + m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED, + m_axi_bresp(1 downto 0) => B"00", + m_axi_buser(0) => '0', + m_axi_bvalid => '0', + m_axi_rdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", + m_axi_rid(0) => '0', + m_axi_rlast => '0', + m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED, + m_axi_rresp(1 downto 0) => B"00", + m_axi_ruser(0) => '0', + m_axi_rvalid => '0', + m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0), + m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0), + m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED, + m_axi_wready => '0', + m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0), + m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0), + m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED, + m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0), + m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0), + m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0), + m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0), + m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED, + m_axis_tready => '0', + m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0), + m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0), + m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED, + overflow => NLW_U0_overflow_UNCONNECTED, + prog_empty => NLW_U0_prog_empty_UNCONNECTED, + prog_empty_thresh(9 downto 0) => B"0000000000", + prog_empty_thresh_assert(9 downto 0) => B"0000000000", + prog_empty_thresh_negate(9 downto 0) => B"0000000000", + prog_full => NLW_U0_prog_full_UNCONNECTED, + prog_full_thresh(8 downto 0) => B"000000000", + prog_full_thresh_assert(8 downto 0) => B"000000000", + prog_full_thresh_negate(8 downto 0) => B"000000000", + rd_clk => '0', + rd_data_count(9 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(9 downto 0), + rd_en => rd_en, + rd_rst => '0', + rd_rst_busy => rd_rst_busy, + rst => '0', + s_aclk => '0', + s_aclk_en => '0', + s_aresetn => '0', + s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", + s_axi_arburst(1 downto 0) => B"00", + s_axi_arcache(3 downto 0) => B"0000", + s_axi_arid(0) => '0', + s_axi_arlen(7 downto 0) => B"00000000", + s_axi_arlock(0) => '0', + s_axi_arprot(2 downto 0) => B"000", + s_axi_arqos(3 downto 0) => B"0000", + s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, + s_axi_arregion(3 downto 0) => B"0000", + s_axi_arsize(2 downto 0) => B"000", + s_axi_aruser(0) => '0', + s_axi_arvalid => '0', + s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", + s_axi_awburst(1 downto 0) => B"00", + s_axi_awcache(3 downto 0) => B"0000", + s_axi_awid(0) => '0', + s_axi_awlen(7 downto 0) => B"00000000", + s_axi_awlock(0) => '0', + s_axi_awprot(2 downto 0) => B"000", + s_axi_awqos(3 downto 0) => B"0000", + s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, + s_axi_awregion(3 downto 0) => B"0000", + s_axi_awsize(2 downto 0) => B"000", + s_axi_awuser(0) => '0', + s_axi_awvalid => '0', + s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0), + s_axi_bready => '0', + s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), + s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0), + s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, + s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0), + s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0), + s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, + s_axi_rready => '0', + s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), + s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0), + s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, + s_axi_wdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", + s_axi_wid(0) => '0', + s_axi_wlast => '0', + s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, + s_axi_wstrb(7 downto 0) => B"00000000", + s_axi_wuser(0) => '0', + s_axi_wvalid => '0', + s_axis_tdata(7 downto 0) => B"00000000", + s_axis_tdest(0) => '0', + s_axis_tid(0) => '0', + s_axis_tkeep(0) => '0', + s_axis_tlast => '0', + s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED, + s_axis_tstrb(0) => '0', + s_axis_tuser(3 downto 0) => B"0000", + s_axis_tvalid => '0', + sbiterr => NLW_U0_sbiterr_UNCONNECTED, + sleep => '0', + srst => srst, + underflow => NLW_U0_underflow_UNCONNECTED, + valid => NLW_U0_valid_UNCONNECTED, + wr_ack => NLW_U0_wr_ack_UNCONNECTED, + wr_clk => '0', + wr_data_count(8 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(8 downto 0), + wr_en => wr_en, + wr_rst => '0', + wr_rst_busy => wr_rst_busy + ); +end STRUCTURE; diff --git a/src/hdl/modules/qlaser_dacs_pulse_channel.vhdl b/src/hdl/modules/qlaser_dacs_pulse_channel.vhdl index 3cc2ce1..c2854f1 100644 --- a/src/hdl/modules/qlaser_dacs_pulse_channel.vhdl +++ b/src/hdl/modules/qlaser_dacs_pulse_channel.vhdl @@ -20,7 +20,7 @@ port ( busy : out std_logic; -- Status signal -- CPU interface - cpu_addr : in std_logic_vector( 9 downto 0); -- Address input + cpu_addr : in std_logic_vector(11 downto 0); -- Address input cpu_wdata : in std_logic_vector(31 downto 0); -- Data input cpu_wr : in std_logic; -- Write enable cpu_sel : in std_logic; -- Block select @@ -35,56 +35,45 @@ port ( ); end entity; - ---------------------------------------------------------------- --- Single channel pulse generator with two RAMs and a FIFO +-- Single channel pulse generator with two RAMs ---------------------------------------------------------------- -architecture rtl of qlaser_dacs_pulse_channel is +architecture channel of qlaser_dacs_pulse_channel is +-- Constants declearations +constant C_RAM_SELECT : integer := 11; -- Select bit for which RAM for CPU read/write +constant C_NUM_PULSE : integer := 16; -- Number of output data values from pulse RAM (16x24-bit) --- RAM, pulse position, CPU port, read/write -constant C_NUM_PULSE : integer := 16; -- Number of output data values from pulse RAM (16x24-bit) -signal ram_pulse_addra : std_logic_vector( 3 downto 0); -- 16 entry RAM -signal ram_pulse_dina : std_logic_vector(95 downto 0); -signal ram_pulse_douta : std_logic_vector(95 downto 0); -signal ram_pulse_douta_d1 : std_logic_vector(95 downto 0); -- Delay distrib RAM output to match pipeline of Block RAM -signal ram_pulse_we : std_logic; +constant C_START_TIME : integer := 24; -- Start time for pulse generation +constant C_BITS_ADDR_START : integer := 12; -- Number of bits for starting address +constant C_BITS_ADDR_LENGTH : integer := 10; -- Number of bits for length address used by an edge of a pulse +constant C_BITS_GAIN_FACTOR : integer := 16; -- Number of bits in gain table +constant C_BITS_TIME_FACTOR : integer := 16; -- Number of bits in time table +constant C_BITS_TIME_INT : integer := 14; -- Starting bit for time integer part of the time factor, counting from MSB +constant C_BITS_TIME_FRAC : integer := 5; -- Starting bit for time fractional part of the time factor, counting from MSB +constant C_BITS_ADDR_TOP : integer := 17; -- Number of bits for the "flat top", the top of the pulse --- RAM, pulse position, from state machine -constant C_BITS_GAIN_FACTOR : integer := 16; -- Number of bits in gain table -constant C_BITS_TIME_FACTOR : integer := 16; -- Number of bits in time table -constant C_BITS_TIME_INT : integer := 14; -- Starting bit for time integer part of the time factor, counting from MSB -constant C_BITS_TIME_FRAC : integer := 5; -- Starting bit for time fractional part of the time factor, counting from MSB -constant C_BITS_ADDR_START : integer := 10; -- Number of bits for starting address -constant C_BITS_ADDR_LENGTH : integer := 10; -- Number of bits for length address used by an edge of a pulse -constant C_BITS_ADDR_TOP : integer := 17; -- Number of bits for the "flat top", the top of the pulse -signal cnt_wave_top : std_logic_vector( C_BITS_ADDR_TOP - 1 downto 0); -- Counter for the top of the waveform -signal ram_pulse_addrb : std_logic_vector( 3 downto 0); -signal ram_pulse_doutb : std_logic_vector(95 downto 0); +constant C_LENGTH_WAVEFORM : integer := 1024; -- Number of output data values from waveform RAM (1024x16-bit) +constant C_BITS_ADDR_WAVE : integer := 10; -- Number of bits in address for waveform RAM -signal cpu_rdata_dv_e1 : std_logic; -signal cpu_rdata_dv_e2 : std_logic; -signal cpu_rdata_ramsel_d1 : std_logic; -signal cpu_rdata_ramsel_d2 : std_logic; +constant C_PC_INCR : integer := 4; -- Width of pulse counter increment -signal cpu_wdata_top : std_logic_vector(31 downto 0); -- Top 32 bits of CPU write data (95:64) -signal cpu_wdata_mid : std_logic_vector(31 downto 0); -- Middle 32 bits of CPU write data (63:32) - --- Waveform RAM port connections. --- NOTE: Port A is 32-bit data, port B is 16-bit -constant C_LENGTH_WAVEFORM : integer := 1024; -- Number of output data values from waveform RAM (1024x16-bit) -constant C_BITS_ADDR_WAVE : integer := 10; -- Number of bits in address for waveform RAM -signal ram_waveform_ena : std_logic; -signal ram_waveform_wea : std_logic_vector( 0 downto 0); -signal ram_waveform_addra : std_logic_vector( 8 downto 0); -signal ram_waveform_dina : std_logic_vector(31 downto 0); -signal ram_waveform_douta : std_logic_vector(31 downto 0); - -signal ram_waveform_enb : std_logic := '0'; -signal ram_waveform_web : std_logic_vector( 0 downto 0) := (others=>'0'); -signal ram_waveform_addrb : std_logic_vector( 9 downto 0); -signal ram_waveform_dinb : std_logic_vector(15 downto 0) := (others=>'0'); -signal ram_waveform_doutb : std_logic_vector(15 downto 0); +-- Signal declarations for pulse RAM +signal ram_pulse_we : std_logic_vector( 0 downto 0); -- Write enable for pulse RAM +signal ram_pulse_addra : std_logic_vector( 9 downto 0); -- Address for pulse RAM +signal ram_pulse_dina : std_logic_vector(31 downto 0); -- Data for pulse RAM +signal ram_pulse_douta : std_logic_vector(31 downto 0); -- Data out from pulse RAM +signal ram_pulse_addrb : std_logic_vector( 9 downto 0); -- Address for pulse RAM +signal ram_pulse_doutb : std_logic_vector(31 downto 0); -- Data out from pulse RAM +-- Signal declarations for waveform RAM +signal ram_waveform_ena : std_logic; -- Enable for waveform RAM +signal ram_waveform_wea : std_logic_vector( 0 downto 0); -- Write enable for waveform RAM +signal ram_waveform_addra : std_logic_vector(10 downto 0); -- Address for waveform RAM +signal ram_waveform_dina : std_logic_vector(31 downto 0); -- Data for waveform RAM +signal ram_waveform_douta : std_logic_vector(31 downto 0); -- Data out from waveform RAM +signal ram_waveform_enb : std_logic; -- Enable for waveform RAM +signal ram_waveform_addrb : std_logic_vector(11 downto 0); -- Address for waveform RAM +signal ram_waveform_doutb : std_logic_vector(15 downto 0); -- Data out from waveform RAM -- State variable type declaration for main state machine type t_sm_state is ( @@ -96,23 +85,16 @@ type t_sm_state is ( S_WAVE_DOWN -- Output the falling edge of a waveform ); signal sm_state : t_sm_state; -signal sm_wavedata : std_logic_vector(15 downto 0); -- Waveform RAM data -signal sm_wavedata_dv : std_logic; -- Signal to indicate that waveform RAM data is valid -signal sm_busy : std_logic; -- Signal to indicate that s.m. is not idle +signal sm_wavedata : std_logic_vector(15 downto 0); -- Waveform RAM data +signal sm_wavedata_dv : std_logic; -- Signal to indicate that waveform RAM data is valid +signal sm_busy : std_logic; -- Signal to indicate that s.m. is not idle - ----- FIFO port connections ---signal fifo_wr_en : std_logic; ---signal fifo_full : std_logic; ---signal fifo_empty : std_logic; ---signal fifo_wr_rst_busy : std_logic; ---signal fifo_rd_rst_busy : std_logic; ---signal fifo_rd_en : std_logic; ----- FIFO status signals for debug purpose ---signal fifo_wr_ack : std_logic; ---signal fifo_overflow : std_logic; ---signal fifo_valid : std_logic; ---signal fifo_underflow : std_logic; +-- Misc signals +signal ram_pulse_douta_d1 : std_logic_vector(31 downto 0); -- Delay distrib RAM output to match pipeline of Block RAM +signal cpu_rdata_dv_e1 : std_logic; +signal cpu_rdata_dv_e2 : std_logic; +signal cpu_rdata_ramsel_d1 : std_logic; +signal cpu_rdata_ramsel_d2 : std_logic; -- Pipeline delays signal start_d1 : std_logic; @@ -120,32 +102,34 @@ signal enable_d1 : std_logic; begin - busy <= sm_busy; - ---------------------------------------------------------------- - -- Distributed RAM to hold 16 24-bit Pulse start times. - -- Synch write, Asynch read - -- Port A is for CPU read/write. 16x24-bit - -- Port B is for pulse time data output. 16x24-bit + -- Pulse Definition Block RAM. + -- Synch write, Synch read + -- Port A is for CPU read/write. 1024x32-bit + -- Port B is for pulse time data output. 1024x32-bit ---------------------------------------------------------------- - u_ram_pulse : entity work.bram_pulseposition + u_ram_pulse : entity work.bram_pulse_definition port map( - clk => clk , -- input std_logic - a => ram_pulse_addra , -- input slv[3:0] - d => ram_pulse_dina , -- input slv[95 downto 0] - we => ram_pulse_we , - spo => ram_pulse_douta , -- output slv(95 downto 0] - - dpra => ram_pulse_addrb , -- input slv[3:0] - dpo => ram_pulse_doutb -- output slv(95 downto 0) + -- Port A CPU Bus + clka => clk, -- input std_logic + wea => ram_pulse_we, -- input slv( 0 to 0 ) + addra => ram_pulse_addra, -- input slv( 9 downto 0 ) + dina => ram_pulse_dina, -- input slv( 31 downto 0 ) + douta => ram_pulse_douta, -- output slv( 31 downto 0 ), + -- Port B waveform input + clkb => clk, + web => (others=>'0'), + addrb => ram_pulse_addrb, -- input slv( 9 downto 0 ) + dinb => (others=>'0'), + doutb => ram_pulse_doutb -- output slv( 31 downto 0 ) ); ---------------------------------------------------------------- -- Waveform table Block RAM. -- Synch write, Synch read - -- Port A is for CPU read/write. 512x32-bit - -- Port B is for waveform data. 1024x16-bit + -- Port A is for CPU read/write. 2048x32-bit + -- Port B is for waveform data. 4096x16-bit ---------------------------------------------------------------- u_ram_waveform : entity work.bram_waveform port map ( @@ -153,7 +137,7 @@ begin clka => clk , -- input std_logic ena => ram_waveform_ena , -- input std_logic wea => ram_waveform_wea , -- input slv(0 downto 0) - addra => ram_waveform_addra , -- input slv(8 downto 0) + addra => ram_waveform_addra , -- input slv(10 downto 0) dina => ram_waveform_dina , -- input slv(31 downto 0) douta => ram_waveform_douta , -- output slv(31 downto 0) @@ -161,224 +145,12 @@ begin clkb => clk , -- input std_logic enb => ram_waveform_enb , -- input std_logic web => (others=>'0') , -- input slv(0 downto 0) - addrb => ram_waveform_addrb , -- input slv(9 downto 0) + addrb => ram_waveform_addrb , -- input slv(11 downto 0) dinb => (others=>'0') , -- input slv(15 downto 0) doutb => ram_waveform_doutb -- output slv(15 downto 0) ); - - - ---------------------------------------------------------------- - -- State machine: - -- Compares cnt_time input against current output from pulse position RAM. - -- When values match iti incremnts the pulse postion RAM address to - -- retrieve the next pulse position and also starts reading the - -- entire waveform table, one value every clock cycle, until it reaches the end. - -- Once the pulse is complete it waits for the next cnt_time match. - -- Repeat until all pulse position RAM times have triggered a pulse output - -- or until the maximum counter time has been reached. - ---------------------------------------------------------------- - pr_sm : process (reset, clk) - -- TODO: those bitwidth are not correct, we could optimize it later and find out how many bits each variable should be. But for now just make it big - variable v_flattop : std_logic_vector(C_BITS_ADDR_TOP - 1 downto 0); -- wait times (flat_top), managed by an internal counter process sm_top_counter unter state S_WAVE_TOP - variable v_addr_length : std_logic_vector(C_BITS_ADDR_LENGTH - 1 downto 0); -- number of points/addresses used by the pulse edge, the bit width should increase with the amount of addresses the wavetable has - variable v_addr_start : std_logic_vector(C_BITS_ADDR_START - 1 downto 0); -- start address of the pulse edge data in the Waveform RAM, the bit width should increase with the amount of address the wavetable has. - variable v_addr_end : std_logic_vector(C_BITS_ADDR_START - 1 downto 0); -- end address of the pulse edge data in the Waveform RAM, the bit width should align with the bit width of v_addr_start - variable v_amplitude_factor : std_logic_vector(C_BITS_GAIN_FACTOR - 1 downto 0); -- pulse edge amplitude scale factor - variable v_time_factor : std_logic_vector(C_BITS_TIME_FACTOR - 1 downto 0); -- pulse edge time scale factor - variable v_cnt_time : std_logic_vector(23 downto 0); -- counter for the time, the bit width should increase with the amount of addresses the wavetable has - - variable v_ram_waveform_addrb : unsigned(95 downto 0); - begin - if (reset = '1') then - - sm_state <= S_IDLE; -- TODO: Eric: Should this be S_RESET since we reset the JEDS interface as well? - ram_pulse_addrb <= (others=>'0'); - ram_waveform_addrb <= (others=>'0'); - - sm_wavedata <= (others=>'0'); - sm_wavedata_dv <= '0'; - sm_busy <= '0'; - ram_waveform_enb <= '0'; - - elsif rising_edge(clk) then - - -- Pipeline delays to use for rising edge detection - enable_d1 <= enable; - start_d1 <= start; - - -- Default - sm_wavedata <= (others=>'0'); - sm_wavedata_dv <= '0'; - - -- Actively read pulse definition RAM and update the variables - v_flattop := ram_pulse_doutb(C_BITS_ADDR_TOP - 1 downto 0); - v_addr_length := ram_pulse_doutb(C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP - 1 downto C_BITS_ADDR_TOP); - v_addr_start := ram_pulse_doutb(C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP - 1 downto C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP); - v_addr_end := std_logic_vector(unsigned(v_addr_start) + unsigned(v_addr_length) - 1); - v_amplitude_factor := ram_pulse_doutb(C_BITS_GAIN_FACTOR + C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP - 1 downto C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP); - v_time_factor := ram_pulse_doutb(C_BITS_TIME_FACTOR + C_BITS_GAIN_FACTOR + C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP - 1 downto C_BITS_GAIN_FACTOR + C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP); - v_cnt_time := ram_pulse_doutb(24 + C_BITS_TIME_FACTOR + C_BITS_GAIN_FACTOR + C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP - 1 downto C_BITS_TIME_FACTOR + C_BITS_GAIN_FACTOR + C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP); - - ------------------------------------------------------------------------ - -- Main state machine - ------------------------------------------------------------------------ - case sm_state is - - ------------------------------------------------------------------------ - -- Wait for rising edge of enable - -- This is set when the JESD interface is aligned and functional. - -- Send a zero value to initialize the DAC then go to idle. - ------------------------------------------------------------------------ - when S_RESET => - - if (enable = '1') and (enable_d1 = '0') then - sm_wavedata <= (others=>'0'); - sm_wavedata_dv <= '1'; - sm_state <= S_IDLE; - end if; - sm_busy <= '0'; - ram_waveform_enb <= '0'; - - - ------------------------------------------------------------------------ - -- Wait for rising edge of 'start'. - -- No data output. - ------------------------------------------------------------------------ - when S_IDLE => - - if (start = '1') and (start_d1 = '0') then - sm_state <= S_WAIT; - sm_busy <= '1'; - else - sm_busy <= '0'; - end if; - - ram_waveform_enb <= '0'; - - ------------------------------------------------------------------------ - -- Wait for cnt_time, external input, to match pulse position RAM output - -- Return to idle state if max time is reached. Output waveform value zero. - ------------------------------------------------------------------------ - when S_WAIT => - - -- Start to output wave and increment pulse position RAM address - if (v_cnt_time = cnt_time) then - sm_state <= S_WAVE_UP; - -- set the wavetable's address to the starting address defined from the pulse ram - ram_waveform_addrb <= v_addr_start; - elsif (cnt_time = X"FFFFFF") then - sm_state <= S_IDLE; - end if; - - ram_waveform_enb <= '1'; - ------------------------------------------------------------------------ - -- Output the raising edge of a waveform - -- Hold the last address when complete - ------------------------------------------------------------------------ - when S_WAVE_UP => - -- Check if is end of rise of the waveform, and hold the address - if (ram_waveform_addrb = v_addr_end) then - sm_state <= S_WAVE_FLAT; - -- initialize the counter for the flat top of the waveform - cnt_wave_top <= std_logic_vector(to_unsigned(0, C_BITS_ADDR_TOP)); - else - -- Output waveform from RAM , and increment the address - -- TODO: apply scaling factor to the address and then to the output - ram_waveform_addrb <= std_logic_vector(unsigned(ram_waveform_addrb) + 1); - end if; - sm_wavedata <= ram_waveform_doutb; - sm_wavedata_dv <= '1'; - - ------------------------------------------------------------------------ - -- Hold the last address and output its data - -- decrement from this address when finished waiting - ------------------------------------------------------------------------ - when S_WAVE_FLAT => - if (cnt_wave_top = v_flattop) then - sm_state <= S_WAVE_DOWN; - else - cnt_wave_top <= std_logic_vector(unsigned(cnt_wave_top) + 1); - end if; - sm_wavedata <= ram_waveform_doutb; - sm_wavedata_dv <= '1'; - - ------------------------------------------------------------------------ - -- Output the falling edge of a waveform - -- Hold the start address when complete - ------------------------------------------------------------------------ - when S_WAVE_DOWN => - - -- End of waveform? - if (ram_waveform_addrb = v_addr_start) then - - -- If the end of the pulse table is reached then go to idle - if (ram_pulse_addrb = std_logic_vector(to_unsigned(C_NUM_PULSE-1,4))) then - ram_pulse_addrb <= (others=>'0'); - sm_state <= S_IDLE; - - else -- increment pulse address for the next waveform - ram_pulse_addrb <= std_logic_vector(unsigned(ram_pulse_addrb) + 1); - sm_state <= S_WAIT; - end if; - - -- Output waveform from RAM with decremented address - else - ram_waveform_addrb <= std_logic_vector(unsigned(ram_waveform_addrb) - 1); - end if; - sm_wavedata <= ram_waveform_doutb; - sm_wavedata_dv <= '1'; - - ------------------------------------------------------------------------ - -- Default - ------------------------------------------------------------------------ - when others => - sm_state <= S_IDLE; - - end case; - end if; - - end process; - - -- AXI-Stream output. - -- TBD: This should come from a FIFO - -- TODO: the bits are not correct, should be top bits (C_BITS_GAIN_FACTOR + 16 downto C_BITS_GAIN_FACTOR), but for now just make it this way so modelsim can simulate - -- TODO: apply scaling factor to the output - axis_tdata <= sm_wavedata; -- axi stream output data, this output should be multiplied by the gain factor, then take the top 16 bits - axis_tvalid <= sm_wavedata_dv; -- axi_stream output data valid - - -- TBD : Generate in state machine? - axis_tlast <= '0'; -- axi_stream output last - - - ---------------------------------------------------------------- - -- **** TBD : ADD FIFO **** - ---------------------------------------------------------------- - -- FIFO for waveform data - -- connect to external output to whatever we want to connect - ---------------------------------------------------------------- - --u_data_to_stream : entity work.fifo_data_to_stream - --port map ( - -- clk => clk, -- input std_logic - -- srst => reset, -- input std_logic - -- rd_en => fifo_rd_en, -- input std_logic - -- wr_en => fifo_wr_en, -- input std_logic - -- empty => fifo_empty, -- output std_logic - -- full => fifo_full, -- output std_logic - -- din => ram_waveform_doutb, -- input slv(15 downto 0) - -- dout => fifo_dout, -- output slv(15 downto 0) - -- - -- -- FIFO signals, some of then are for debug purpose - -- wr_ack => fifo_wr_ack, -- output std_logic - -- overflow => fifo_overflow, -- output std_logic - -- valid => fifo_valid, -- output std_logic - -- underflow => fifo_underflow, -- output std_logic - -- wr_rst_busy => fifo_wr_rst_busy, -- output std_logic - -- rd_rst_busy => fifo_rd_rst_busy -- output std_logic - --); - - - ---------------------------------------------------------------- + ---------------------------------------------------------------- -- CPU Read/Write RAM -- MSB of cpu_addr is used to select one of the two RAMs -- to read/write, and the remainder are a 9-bit or 4-bit RAM address. @@ -389,7 +161,7 @@ begin ram_pulse_addra <= (others=>'0'); ram_pulse_dina <= (others=>'0'); - ram_pulse_we <= '0'; + ram_pulse_we <= (others=>'0'); ram_waveform_ena <= '0'; ram_waveform_wea <= (others=>'0'); @@ -412,32 +184,23 @@ begin ------------------------------------------------- if (cpu_wr = '1') and (cpu_sel = '1') then - -- 0 for pulse position, 1 for waveform table + -- 0 for pulse definition, 1 for waveform table if (cpu_addr(9) = '1') then ram_pulse_addra <= (others=>'0'); ram_pulse_dina <= (others=>'0'); - ram_pulse_we <= '0'; + ram_pulse_we <= (others=>'0'); ram_waveform_wea(0) <= '1'; ram_waveform_ena <= '1'; - ram_waveform_addra <= cpu_addr(8 downto 0); + ram_waveform_addra <= cpu_addr(10 downto 0); ram_waveform_dina <= cpu_wdata; else - ram_pulse_addra <= cpu_addr(5 downto 2); - -- select which part of the 96-bit data to write - if (cpu_addr(1 downto 0) = "00") then - ram_pulse_dina(31 downto 0) <= cpu_wdata; - elsif (cpu_addr(1 downto 0) = "01") then - ram_pulse_dina(63 downto 32) <= cpu_wdata; - elsif (cpu_addr(1 downto 0) = "10") then - ram_pulse_dina(95 downto 64) <= cpu_wdata; - ram_pulse_we <= '1'; -- Write on the thrid cycle - end if; - - + ram_pulse_addra <= cpu_addr(9 downto 0); + ram_pulse_dina <= cpu_wdata; + ram_pulse_we <= std_logic_vector(to_unsigned(1, ram_pulse_we'length)); ram_waveform_ena <= '0'; ram_waveform_wea <= (others=>'0'); ram_waveform_addra <= (others=>'0'); @@ -456,17 +219,17 @@ begin ------------------------------------------------- elsif (cpu_wr = '0') and (cpu_sel = '1') then - if (cpu_addr(9) = '1') then -- Waveform + if (cpu_addr(C_RAM_SELECT) = '1') then -- Waveform ram_waveform_ena <= '1'; ram_pulse_addra <= (others=>'0'); - ram_waveform_addra <= cpu_addr(8 downto 0); + ram_waveform_addra <= cpu_addr(10 downto 0); else -- Pulse - ram_pulse_addra <= cpu_addr(5 downto 2); + ram_pulse_addra <= cpu_addr(9 downto 0); ram_pulse_douta_d1 <= ram_pulse_douta; -- Delay distrib RAM output to match pipeline of Block RAM ram_waveform_addra <= (others=>'0'); end if; - ram_pulse_we <= '0'; + ram_pulse_we <= (others=>'0'); ram_waveform_wea(0) <= '0'; cpu_rdata_dv_e2 <= '1'; -- DV for cycle, when RAM output occurs @@ -476,7 +239,7 @@ begin else ram_pulse_addra <= (others=>'0'); - ram_pulse_we <= '0'; + ram_pulse_we <= (others=>'0'); ram_waveform_addra <= (others=>'0'); ram_waveform_wea(0) <= '0'; @@ -501,16 +264,7 @@ begin cpu_rdata <= ram_waveform_douta; elsif (cpu_rdata_ramsel_d2 = '0') then - -- cpu_rdata <= X"00" & ram_pulse_douta_d1; - -- select which part of the 96-bit data to read - if (cpu_addr(1 downto 0) = "00") then - cpu_rdata <= ram_pulse_douta_d1(31 downto 0); - elsif (cpu_addr(1 downto 0) = "01") then - cpu_rdata <= ram_pulse_douta_d1(63 downto 32); - elsif (cpu_addr(1 downto 0) = "10") then - cpu_rdata <= ram_pulse_douta_d1(95 downto 64); - - end if; + cpu_rdata <= ram_pulse_douta_d1; end if; else @@ -521,86 +275,4 @@ begin end if; end process; - - --- ---------------------------------------------------------------- --- -- Read time from RAM to generate pulses --- -- When input cnt_time equals RAM time output then set dout --- -- to RAM amplitude output and read next set of RAM data. --- -- Keep reading waveform RAM every clock cycle until the end of the RAM --- ---------------------------------------------------------------- --- pr_ram_pulse : process(reset, clk) --- begin --- if (reset = '1') then --- --- ram_pulse_addrb <= (others => '0'); --- start_pulse <= '0'; --- dout_dv <= '0'; --- --- elsif rising_edge(clk) then --- --- -- dout <= ram_amplitude; --- --- if (cnt_time = X"000000") then -- Not triggered --- ram_pulse_addrb <= (others=>'0'); --- dout_dv <= '0'; --- start_pulse <= '0'; --- --- elsif (ram_time = cnt_time) then --- --- ram_pulse_addrb <= std_logic_vector(unsigned(ram_pulse_addrb) + 1); --- dout_dv <= '1'; --- start_pulse <= '1'; --- --- else --- dout_dv <= '0'; --- start_pulse <= '0'; --- end if; --- --- end if; --- --- end process; --- --- --- ---------------------------------------------------------------- --- -- Read amplitude from Waveform RAM to generate pulses --- -- When start_pulse is asserted, and when FIFO is not full, write --- -- amplitude to FIFO. --- ---------------------------------------------------------------- --- pr_ram_wavetable : process(reset, clk) --- begin --- if (reset = '1') then --- fifo_wr_en <= '0'; --- ram_waveform_addrb <= (others => '0'); --- ram_waveform_enb <= '0'; --- busy <= '0'; --- elsif rising_edge(clk) then --- if (read_table = '1') then -- start_pulse get asserted --- busy <= '1'; --- -- TODO EricToGeoff : This condition may not satisfy all cases of a fifo_ready, maybe also utilize fifo_wr_ack or just a simple FSM? --- if (fifo_full = '0') then --- fifo_wr_en <= '1'; --- ram_waveform_addrb <= std_logic_vector(unsigned(ram_waveform_addrb) + 1); --- ram_waveform_enb <= '1'; --- else --- fifo_wr_en <= '0'; --- -- FIFO is full, wait --- ram_waveform_addrb <= ram_waveform_addrb; --- ram_waveform_enb <= '0'; --- end if; --- else --- fifo_wr_en <= '0'; --- ram_waveform_addrb <= (others => '0'); --- ram_waveform_enb <= '0'; --- end if; --- end if; --- --- end process; --- --- -- For new versions, ram_doutb are differnt RAMs b port outputs, ram_amplitude should go thought a FIFO first from RAM --- ram_time <= ram_doutb; --- read_table <= start_pulse; --- --- fifo_rd_en <= axi_tready and fifo_full; - -end rtl; +end channel; \ No newline at end of file diff --git a/src/hdl/sandbox/qlaser_dacs_pulse_channel.vhdl b/src/hdl/sandbox/qlaser_dacs_pulse_channel.vhdl new file mode 100644 index 0000000..3cc2ce1 --- /dev/null +++ b/src/hdl/sandbox/qlaser_dacs_pulse_channel.vhdl @@ -0,0 +1,606 @@ +--------------------------------------------------------------- +-- File : qlaser_dacs_pulse_channel.vhd +-- Description : Single channel of pulse output +---------------------------------------------------------------- +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +use work.qlaser_pkg.all; + +entity qlaser_dacs_pulse_channel is +port ( + reset : in std_logic; + clk : in std_logic; + + enable : in std_logic; -- Set when DAC interface is running + start : in std_logic; -- Set when pulse generation sequence begins (trigger) + cnt_time : in std_logic_vector(23 downto 0); -- Time since trigger. + + busy : out std_logic; -- Status signal + + -- CPU interface + cpu_addr : in std_logic_vector( 9 downto 0); -- Address input + cpu_wdata : in std_logic_vector(31 downto 0); -- Data input + cpu_wr : in std_logic; -- Write enable + cpu_sel : in std_logic; -- Block select + cpu_rdata : out std_logic_vector(31 downto 0); -- Data output + cpu_rdata_dv : out std_logic; -- Acknowledge output + + -- AXI-stream output + axis_tready : in std_logic; -- axi_stream ready from downstream module + axis_tdata : out std_logic_vector(15 downto 0); -- axi stream output data + axis_tvalid : out std_logic; -- axi_stream output data valid + axis_tlast : out std_logic -- axi_stream output set on last data +); +end entity; + + +---------------------------------------------------------------- +-- Single channel pulse generator with two RAMs and a FIFO +---------------------------------------------------------------- +architecture rtl of qlaser_dacs_pulse_channel is + +-- RAM, pulse position, CPU port, read/write +constant C_NUM_PULSE : integer := 16; -- Number of output data values from pulse RAM (16x24-bit) +signal ram_pulse_addra : std_logic_vector( 3 downto 0); -- 16 entry RAM +signal ram_pulse_dina : std_logic_vector(95 downto 0); +signal ram_pulse_douta : std_logic_vector(95 downto 0); +signal ram_pulse_douta_d1 : std_logic_vector(95 downto 0); -- Delay distrib RAM output to match pipeline of Block RAM +signal ram_pulse_we : std_logic; + +-- RAM, pulse position, from state machine +constant C_BITS_GAIN_FACTOR : integer := 16; -- Number of bits in gain table +constant C_BITS_TIME_FACTOR : integer := 16; -- Number of bits in time table +constant C_BITS_TIME_INT : integer := 14; -- Starting bit for time integer part of the time factor, counting from MSB +constant C_BITS_TIME_FRAC : integer := 5; -- Starting bit for time fractional part of the time factor, counting from MSB +constant C_BITS_ADDR_START : integer := 10; -- Number of bits for starting address +constant C_BITS_ADDR_LENGTH : integer := 10; -- Number of bits for length address used by an edge of a pulse +constant C_BITS_ADDR_TOP : integer := 17; -- Number of bits for the "flat top", the top of the pulse +signal cnt_wave_top : std_logic_vector( C_BITS_ADDR_TOP - 1 downto 0); -- Counter for the top of the waveform +signal ram_pulse_addrb : std_logic_vector( 3 downto 0); +signal ram_pulse_doutb : std_logic_vector(95 downto 0); + +signal cpu_rdata_dv_e1 : std_logic; +signal cpu_rdata_dv_e2 : std_logic; +signal cpu_rdata_ramsel_d1 : std_logic; +signal cpu_rdata_ramsel_d2 : std_logic; + +signal cpu_wdata_top : std_logic_vector(31 downto 0); -- Top 32 bits of CPU write data (95:64) +signal cpu_wdata_mid : std_logic_vector(31 downto 0); -- Middle 32 bits of CPU write data (63:32) + +-- Waveform RAM port connections. +-- NOTE: Port A is 32-bit data, port B is 16-bit +constant C_LENGTH_WAVEFORM : integer := 1024; -- Number of output data values from waveform RAM (1024x16-bit) +constant C_BITS_ADDR_WAVE : integer := 10; -- Number of bits in address for waveform RAM +signal ram_waveform_ena : std_logic; +signal ram_waveform_wea : std_logic_vector( 0 downto 0); +signal ram_waveform_addra : std_logic_vector( 8 downto 0); +signal ram_waveform_dina : std_logic_vector(31 downto 0); +signal ram_waveform_douta : std_logic_vector(31 downto 0); + +signal ram_waveform_enb : std_logic := '0'; +signal ram_waveform_web : std_logic_vector( 0 downto 0) := (others=>'0'); +signal ram_waveform_addrb : std_logic_vector( 9 downto 0); +signal ram_waveform_dinb : std_logic_vector(15 downto 0) := (others=>'0'); +signal ram_waveform_doutb : std_logic_vector(15 downto 0); + + +-- State variable type declaration for main state machine +type t_sm_state is ( + S_RESET, -- Wait for 'enable'. Stay here until JESD interface is up and running, + S_IDLE, -- Wait for 'start' + S_WAIT, -- Wait for cnt_time, external input, to match pulse position RAM output + S_WAVE_UP, -- Output the rising edge of a waveform + S_WAVE_FLAT,-- Output the flat top part of a waveform + S_WAVE_DOWN -- Output the falling edge of a waveform +); +signal sm_state : t_sm_state; +signal sm_wavedata : std_logic_vector(15 downto 0); -- Waveform RAM data +signal sm_wavedata_dv : std_logic; -- Signal to indicate that waveform RAM data is valid +signal sm_busy : std_logic; -- Signal to indicate that s.m. is not idle + + +---- FIFO port connections +--signal fifo_wr_en : std_logic; +--signal fifo_full : std_logic; +--signal fifo_empty : std_logic; +--signal fifo_wr_rst_busy : std_logic; +--signal fifo_rd_rst_busy : std_logic; +--signal fifo_rd_en : std_logic; +---- FIFO status signals for debug purpose +--signal fifo_wr_ack : std_logic; +--signal fifo_overflow : std_logic; +--signal fifo_valid : std_logic; +--signal fifo_underflow : std_logic; + +-- Pipeline delays +signal start_d1 : std_logic; +signal enable_d1 : std_logic; + +begin + + busy <= sm_busy; + + ---------------------------------------------------------------- + -- Distributed RAM to hold 16 24-bit Pulse start times. + -- Synch write, Asynch read + -- Port A is for CPU read/write. 16x24-bit + -- Port B is for pulse time data output. 16x24-bit + ---------------------------------------------------------------- + u_ram_pulse : entity work.bram_pulseposition + port map( + clk => clk , -- input std_logic + a => ram_pulse_addra , -- input slv[3:0] + d => ram_pulse_dina , -- input slv[95 downto 0] + we => ram_pulse_we , + spo => ram_pulse_douta , -- output slv(95 downto 0] + + dpra => ram_pulse_addrb , -- input slv[3:0] + dpo => ram_pulse_doutb -- output slv(95 downto 0) + ); + + + ---------------------------------------------------------------- + -- Waveform table Block RAM. + -- Synch write, Synch read + -- Port A is for CPU read/write. 512x32-bit + -- Port B is for waveform data. 1024x16-bit + ---------------------------------------------------------------- + u_ram_waveform : entity work.bram_waveform + port map ( + -- Port A CPU Bus + clka => clk , -- input std_logic + ena => ram_waveform_ena , -- input std_logic + wea => ram_waveform_wea , -- input slv(0 downto 0) + addra => ram_waveform_addra , -- input slv(8 downto 0) + dina => ram_waveform_dina , -- input slv(31 downto 0) + douta => ram_waveform_douta , -- output slv(31 downto 0) + + -- Port B waveform output + clkb => clk , -- input std_logic + enb => ram_waveform_enb , -- input std_logic + web => (others=>'0') , -- input slv(0 downto 0) + addrb => ram_waveform_addrb , -- input slv(9 downto 0) + dinb => (others=>'0') , -- input slv(15 downto 0) + doutb => ram_waveform_doutb -- output slv(15 downto 0) + ); + + + + ---------------------------------------------------------------- + -- State machine: + -- Compares cnt_time input against current output from pulse position RAM. + -- When values match iti incremnts the pulse postion RAM address to + -- retrieve the next pulse position and also starts reading the + -- entire waveform table, one value every clock cycle, until it reaches the end. + -- Once the pulse is complete it waits for the next cnt_time match. + -- Repeat until all pulse position RAM times have triggered a pulse output + -- or until the maximum counter time has been reached. + ---------------------------------------------------------------- + pr_sm : process (reset, clk) + -- TODO: those bitwidth are not correct, we could optimize it later and find out how many bits each variable should be. But for now just make it big + variable v_flattop : std_logic_vector(C_BITS_ADDR_TOP - 1 downto 0); -- wait times (flat_top), managed by an internal counter process sm_top_counter unter state S_WAVE_TOP + variable v_addr_length : std_logic_vector(C_BITS_ADDR_LENGTH - 1 downto 0); -- number of points/addresses used by the pulse edge, the bit width should increase with the amount of addresses the wavetable has + variable v_addr_start : std_logic_vector(C_BITS_ADDR_START - 1 downto 0); -- start address of the pulse edge data in the Waveform RAM, the bit width should increase with the amount of address the wavetable has. + variable v_addr_end : std_logic_vector(C_BITS_ADDR_START - 1 downto 0); -- end address of the pulse edge data in the Waveform RAM, the bit width should align with the bit width of v_addr_start + variable v_amplitude_factor : std_logic_vector(C_BITS_GAIN_FACTOR - 1 downto 0); -- pulse edge amplitude scale factor + variable v_time_factor : std_logic_vector(C_BITS_TIME_FACTOR - 1 downto 0); -- pulse edge time scale factor + variable v_cnt_time : std_logic_vector(23 downto 0); -- counter for the time, the bit width should increase with the amount of addresses the wavetable has + + variable v_ram_waveform_addrb : unsigned(95 downto 0); + begin + if (reset = '1') then + + sm_state <= S_IDLE; -- TODO: Eric: Should this be S_RESET since we reset the JEDS interface as well? + ram_pulse_addrb <= (others=>'0'); + ram_waveform_addrb <= (others=>'0'); + + sm_wavedata <= (others=>'0'); + sm_wavedata_dv <= '0'; + sm_busy <= '0'; + ram_waveform_enb <= '0'; + + elsif rising_edge(clk) then + + -- Pipeline delays to use for rising edge detection + enable_d1 <= enable; + start_d1 <= start; + + -- Default + sm_wavedata <= (others=>'0'); + sm_wavedata_dv <= '0'; + + -- Actively read pulse definition RAM and update the variables + v_flattop := ram_pulse_doutb(C_BITS_ADDR_TOP - 1 downto 0); + v_addr_length := ram_pulse_doutb(C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP - 1 downto C_BITS_ADDR_TOP); + v_addr_start := ram_pulse_doutb(C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP - 1 downto C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP); + v_addr_end := std_logic_vector(unsigned(v_addr_start) + unsigned(v_addr_length) - 1); + v_amplitude_factor := ram_pulse_doutb(C_BITS_GAIN_FACTOR + C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP - 1 downto C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP); + v_time_factor := ram_pulse_doutb(C_BITS_TIME_FACTOR + C_BITS_GAIN_FACTOR + C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP - 1 downto C_BITS_GAIN_FACTOR + C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP); + v_cnt_time := ram_pulse_doutb(24 + C_BITS_TIME_FACTOR + C_BITS_GAIN_FACTOR + C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP - 1 downto C_BITS_TIME_FACTOR + C_BITS_GAIN_FACTOR + C_BITS_ADDR_START + C_BITS_ADDR_LENGTH + C_BITS_ADDR_TOP); + + ------------------------------------------------------------------------ + -- Main state machine + ------------------------------------------------------------------------ + case sm_state is + + ------------------------------------------------------------------------ + -- Wait for rising edge of enable + -- This is set when the JESD interface is aligned and functional. + -- Send a zero value to initialize the DAC then go to idle. + ------------------------------------------------------------------------ + when S_RESET => + + if (enable = '1') and (enable_d1 = '0') then + sm_wavedata <= (others=>'0'); + sm_wavedata_dv <= '1'; + sm_state <= S_IDLE; + end if; + sm_busy <= '0'; + ram_waveform_enb <= '0'; + + + ------------------------------------------------------------------------ + -- Wait for rising edge of 'start'. + -- No data output. + ------------------------------------------------------------------------ + when S_IDLE => + + if (start = '1') and (start_d1 = '0') then + sm_state <= S_WAIT; + sm_busy <= '1'; + else + sm_busy <= '0'; + end if; + + ram_waveform_enb <= '0'; + + ------------------------------------------------------------------------ + -- Wait for cnt_time, external input, to match pulse position RAM output + -- Return to idle state if max time is reached. Output waveform value zero. + ------------------------------------------------------------------------ + when S_WAIT => + + -- Start to output wave and increment pulse position RAM address + if (v_cnt_time = cnt_time) then + sm_state <= S_WAVE_UP; + -- set the wavetable's address to the starting address defined from the pulse ram + ram_waveform_addrb <= v_addr_start; + elsif (cnt_time = X"FFFFFF") then + sm_state <= S_IDLE; + end if; + + ram_waveform_enb <= '1'; + ------------------------------------------------------------------------ + -- Output the raising edge of a waveform + -- Hold the last address when complete + ------------------------------------------------------------------------ + when S_WAVE_UP => + -- Check if is end of rise of the waveform, and hold the address + if (ram_waveform_addrb = v_addr_end) then + sm_state <= S_WAVE_FLAT; + -- initialize the counter for the flat top of the waveform + cnt_wave_top <= std_logic_vector(to_unsigned(0, C_BITS_ADDR_TOP)); + else + -- Output waveform from RAM , and increment the address + -- TODO: apply scaling factor to the address and then to the output + ram_waveform_addrb <= std_logic_vector(unsigned(ram_waveform_addrb) + 1); + end if; + sm_wavedata <= ram_waveform_doutb; + sm_wavedata_dv <= '1'; + + ------------------------------------------------------------------------ + -- Hold the last address and output its data + -- decrement from this address when finished waiting + ------------------------------------------------------------------------ + when S_WAVE_FLAT => + if (cnt_wave_top = v_flattop) then + sm_state <= S_WAVE_DOWN; + else + cnt_wave_top <= std_logic_vector(unsigned(cnt_wave_top) + 1); + end if; + sm_wavedata <= ram_waveform_doutb; + sm_wavedata_dv <= '1'; + + ------------------------------------------------------------------------ + -- Output the falling edge of a waveform + -- Hold the start address when complete + ------------------------------------------------------------------------ + when S_WAVE_DOWN => + + -- End of waveform? + if (ram_waveform_addrb = v_addr_start) then + + -- If the end of the pulse table is reached then go to idle + if (ram_pulse_addrb = std_logic_vector(to_unsigned(C_NUM_PULSE-1,4))) then + ram_pulse_addrb <= (others=>'0'); + sm_state <= S_IDLE; + + else -- increment pulse address for the next waveform + ram_pulse_addrb <= std_logic_vector(unsigned(ram_pulse_addrb) + 1); + sm_state <= S_WAIT; + end if; + + -- Output waveform from RAM with decremented address + else + ram_waveform_addrb <= std_logic_vector(unsigned(ram_waveform_addrb) - 1); + end if; + sm_wavedata <= ram_waveform_doutb; + sm_wavedata_dv <= '1'; + + ------------------------------------------------------------------------ + -- Default + ------------------------------------------------------------------------ + when others => + sm_state <= S_IDLE; + + end case; + end if; + + end process; + + -- AXI-Stream output. + -- TBD: This should come from a FIFO + -- TODO: the bits are not correct, should be top bits (C_BITS_GAIN_FACTOR + 16 downto C_BITS_GAIN_FACTOR), but for now just make it this way so modelsim can simulate + -- TODO: apply scaling factor to the output + axis_tdata <= sm_wavedata; -- axi stream output data, this output should be multiplied by the gain factor, then take the top 16 bits + axis_tvalid <= sm_wavedata_dv; -- axi_stream output data valid + + -- TBD : Generate in state machine? + axis_tlast <= '0'; -- axi_stream output last + + + ---------------------------------------------------------------- + -- **** TBD : ADD FIFO **** + ---------------------------------------------------------------- + -- FIFO for waveform data + -- connect to external output to whatever we want to connect + ---------------------------------------------------------------- + --u_data_to_stream : entity work.fifo_data_to_stream + --port map ( + -- clk => clk, -- input std_logic + -- srst => reset, -- input std_logic + -- rd_en => fifo_rd_en, -- input std_logic + -- wr_en => fifo_wr_en, -- input std_logic + -- empty => fifo_empty, -- output std_logic + -- full => fifo_full, -- output std_logic + -- din => ram_waveform_doutb, -- input slv(15 downto 0) + -- dout => fifo_dout, -- output slv(15 downto 0) + -- + -- -- FIFO signals, some of then are for debug purpose + -- wr_ack => fifo_wr_ack, -- output std_logic + -- overflow => fifo_overflow, -- output std_logic + -- valid => fifo_valid, -- output std_logic + -- underflow => fifo_underflow, -- output std_logic + -- wr_rst_busy => fifo_wr_rst_busy, -- output std_logic + -- rd_rst_busy => fifo_rd_rst_busy -- output std_logic + --); + + + ---------------------------------------------------------------- + -- CPU Read/Write RAM + -- MSB of cpu_addr is used to select one of the two RAMs + -- to read/write, and the remainder are a 9-bit or 4-bit RAM address. + ---------------------------------------------------------------- + pr_ram_rw : process (reset, clk) + begin + if (reset = '1') then + + ram_pulse_addra <= (others=>'0'); + ram_pulse_dina <= (others=>'0'); + ram_pulse_we <= '0'; + + ram_waveform_ena <= '0'; + ram_waveform_wea <= (others=>'0'); + ram_waveform_addra <= (others=>'0'); + ram_waveform_dina <= (others=>'0'); + + cpu_rdata <= (others=>'0'); + cpu_rdata_dv <= '0'; + cpu_rdata_dv_e1 <= '0'; + cpu_rdata_dv_e2 <= '0'; + cpu_rdata_ramsel_d1 <= '0'; + cpu_rdata_ramsel_d2 <= '0'; + + elsif rising_edge(clk) then + + ram_waveform_ena <= '0'; + + ------------------------------------------------- + -- CPU writing RAM + ------------------------------------------------- + if (cpu_wr = '1') and (cpu_sel = '1') then + + -- 0 for pulse position, 1 for waveform table + if (cpu_addr(9) = '1') then + + ram_pulse_addra <= (others=>'0'); + ram_pulse_dina <= (others=>'0'); + ram_pulse_we <= '0'; + + ram_waveform_wea(0) <= '1'; + ram_waveform_ena <= '1'; + ram_waveform_addra <= cpu_addr(8 downto 0); + ram_waveform_dina <= cpu_wdata; + + else + + ram_pulse_addra <= cpu_addr(5 downto 2); + -- select which part of the 96-bit data to write + if (cpu_addr(1 downto 0) = "00") then + ram_pulse_dina(31 downto 0) <= cpu_wdata; + elsif (cpu_addr(1 downto 0) = "01") then + ram_pulse_dina(63 downto 32) <= cpu_wdata; + elsif (cpu_addr(1 downto 0) = "10") then + ram_pulse_dina(95 downto 64) <= cpu_wdata; + ram_pulse_we <= '1'; -- Write on the thrid cycle + end if; + + + ram_waveform_ena <= '0'; + ram_waveform_wea <= (others=>'0'); + ram_waveform_addra <= (others=>'0'); + ram_waveform_dina <= (others=>'0'); + + end if; + + cpu_rdata_dv_e1 <= '0'; + cpu_rdata_dv_e2 <= '0'; + cpu_rdata_ramsel_d1 <= '0'; + cpu_rdata_ramsel_d2 <= '0'; + + + ------------------------------------------------- + -- CPU read + ------------------------------------------------- + elsif (cpu_wr = '0') and (cpu_sel = '1') then + + if (cpu_addr(9) = '1') then -- Waveform + ram_waveform_ena <= '1'; + ram_pulse_addra <= (others=>'0'); + ram_waveform_addra <= cpu_addr(8 downto 0); + else -- Pulse + ram_pulse_addra <= cpu_addr(5 downto 2); + ram_pulse_douta_d1 <= ram_pulse_douta; -- Delay distrib RAM output to match pipeline of Block RAM + ram_waveform_addra <= (others=>'0'); + end if; + + ram_pulse_we <= '0'; + ram_waveform_wea(0) <= '0'; + + cpu_rdata_dv_e2 <= '1'; -- DV for cycle, when RAM output occurs + cpu_rdata_dv_e1 <= cpu_rdata_dv_e2; -- DV for next cycle + cpu_rdata_ramsel_d1 <= cpu_addr(9); -- Save the select bit one cycle later + cpu_rdata_ramsel_d2 <= cpu_rdata_ramsel_d1; + + else + ram_pulse_addra <= (others=>'0'); + ram_pulse_we <= '0'; + ram_waveform_addra <= (others=>'0'); + ram_waveform_wea(0) <= '0'; + + cpu_rdata_dv_e2 <= '0'; + cpu_rdata_dv_e1 <= cpu_rdata_dv_e2; -- DV for next cycle + cpu_rdata_ramsel_d1 <= '0'; + cpu_rdata_ramsel_d2 <= cpu_rdata_ramsel_d1; + + end if; + + ------------------------------------------------- + -- Output the delayed RAM data + -- This adds a pipeline delay to the cpu_rdata_dv to account for + -- the delay in reading data from the RAM + ------------------------------------------------- + if (cpu_rdata_dv_e1 = '1') then + + cpu_rdata_dv <= '1'; + + -- Select source of output data + if (cpu_rdata_ramsel_d2 = '1') then -- Output is from waveform table + cpu_rdata <= ram_waveform_douta; + + elsif (cpu_rdata_ramsel_d2 = '0') then + -- cpu_rdata <= X"00" & ram_pulse_douta_d1; + -- select which part of the 96-bit data to read + if (cpu_addr(1 downto 0) = "00") then + cpu_rdata <= ram_pulse_douta_d1(31 downto 0); + elsif (cpu_addr(1 downto 0) = "01") then + cpu_rdata <= ram_pulse_douta_d1(63 downto 32); + elsif (cpu_addr(1 downto 0) = "10") then + cpu_rdata <= ram_pulse_douta_d1(95 downto 64); + + end if; + end if; + + else + cpu_rdata <= (others=>'0'); + cpu_rdata_dv <= '0'; + end if; + + end if; + + end process; + + +-- ---------------------------------------------------------------- +-- -- Read time from RAM to generate pulses +-- -- When input cnt_time equals RAM time output then set dout +-- -- to RAM amplitude output and read next set of RAM data. +-- -- Keep reading waveform RAM every clock cycle until the end of the RAM +-- ---------------------------------------------------------------- +-- pr_ram_pulse : process(reset, clk) +-- begin +-- if (reset = '1') then +-- +-- ram_pulse_addrb <= (others => '0'); +-- start_pulse <= '0'; +-- dout_dv <= '0'; +-- +-- elsif rising_edge(clk) then +-- +-- -- dout <= ram_amplitude; +-- +-- if (cnt_time = X"000000") then -- Not triggered +-- ram_pulse_addrb <= (others=>'0'); +-- dout_dv <= '0'; +-- start_pulse <= '0'; +-- +-- elsif (ram_time = cnt_time) then +-- +-- ram_pulse_addrb <= std_logic_vector(unsigned(ram_pulse_addrb) + 1); +-- dout_dv <= '1'; +-- start_pulse <= '1'; +-- +-- else +-- dout_dv <= '0'; +-- start_pulse <= '0'; +-- end if; +-- +-- end if; +-- +-- end process; +-- +-- +-- ---------------------------------------------------------------- +-- -- Read amplitude from Waveform RAM to generate pulses +-- -- When start_pulse is asserted, and when FIFO is not full, write +-- -- amplitude to FIFO. +-- ---------------------------------------------------------------- +-- pr_ram_wavetable : process(reset, clk) +-- begin +-- if (reset = '1') then +-- fifo_wr_en <= '0'; +-- ram_waveform_addrb <= (others => '0'); +-- ram_waveform_enb <= '0'; +-- busy <= '0'; +-- elsif rising_edge(clk) then +-- if (read_table = '1') then -- start_pulse get asserted +-- busy <= '1'; +-- -- TODO EricToGeoff : This condition may not satisfy all cases of a fifo_ready, maybe also utilize fifo_wr_ack or just a simple FSM? +-- if (fifo_full = '0') then +-- fifo_wr_en <= '1'; +-- ram_waveform_addrb <= std_logic_vector(unsigned(ram_waveform_addrb) + 1); +-- ram_waveform_enb <= '1'; +-- else +-- fifo_wr_en <= '0'; +-- -- FIFO is full, wait +-- ram_waveform_addrb <= ram_waveform_addrb; +-- ram_waveform_enb <= '0'; +-- end if; +-- else +-- fifo_wr_en <= '0'; +-- ram_waveform_addrb <= (others => '0'); +-- ram_waveform_enb <= '0'; +-- end if; +-- end if; +-- +-- end process; +-- +-- -- For new versions, ram_doutb are differnt RAMs b port outputs, ram_amplitude should go thought a FIFO first from RAM +-- ram_time <= ram_doutb; +-- read_table <= start_pulse; +-- +-- fifo_rd_en <= axi_tready and fifo_full; + +end rtl; diff --git a/src/hdl/tb/tb_cpubus_dacs_pulse_channel_pd.vhdl b/src/hdl/sandbox/tb_cpubus_dacs_pulse_channel.vhdl similarity index 94% rename from src/hdl/tb/tb_cpubus_dacs_pulse_channel_pd.vhdl rename to src/hdl/sandbox/tb_cpubus_dacs_pulse_channel.vhdl index 770c704..541d87f 100644 --- a/src/hdl/tb/tb_cpubus_dacs_pulse_channel_pd.vhdl +++ b/src/hdl/sandbox/tb_cpubus_dacs_pulse_channel.vhdl @@ -105,10 +105,10 @@ procedure cpu_write_pulsedef( signal cpu_wdata : out std_logic_vector(31 downto 0) ) is -- Vectors for converted values -variable slv_pulsetime : std_logic_vector(23 downto 0); -- For 24-bit pulse time +variable slv_pulsetime : std_logic_vector(26 downto 0); -- For 27-bit pulse time variable slv_timefactor : std_logic_vector(15 downto 0); -- For 16-bit fixed point timestep variable slv_gainfactor : std_logic_vector(15 downto 0); -- For 16-bit fixed point gain -variable slv_wavestartaddr : std_logic_vector( 9 downto 0); -- For 10-bit address i.e. 1024 point waveform RAM +variable slv_wavestartaddr : std_logic_vector(11 downto 0); -- For 12-bit address i.e. 1024 point waveform RAM variable slv_wavesteps : std_logic_vector( 9 downto 0); -- For 10-bit number of steps i.e. 0 = 1 step, X"3FF" = 1024 points variable slv_wavetopwidth : std_logic_vector(16 downto 0); -- For 17-bit number of clock cycles in top of waveform @@ -120,10 +120,10 @@ constant BIT_FRAC : integer := 4; -- TODO: this should be defined in qlaser_pkg begin -- Convert each field into its std_logic_vector equivalent - slv_pulsetime := std_logic_vector(to_unsigned(pulsetime, 24)); + slv_pulsetime := std_logic_vector(to_unsigned(pulsetime, 27)); slv_timefactor := std_logic_vector(to_unsigned(integer(timefactor * real(2**BIT_FRAC)), 16)); -- Convert real to std_logic_vector keeping the fractional part slv_gainfactor := std_logic_vector(to_unsigned(integer(gainfactor * real(2**BIT_FRAC)), 16)); -- Convert real to std_logic_vector keeping the fractional part - slv_wavestartaddr := std_logic_vector(to_unsigned(wavestartaddr, 10)); + slv_wavestartaddr := std_logic_vector(to_unsigned(wavestartaddr, 12)); slv_wavesteps := std_logic_vector(to_unsigned(wavesteps, 10)); slv_wavetopwidth := std_logic_vector(to_unsigned(wavetopwidth, 17)); @@ -131,12 +131,13 @@ begin --etc, etc. -- Build full entry out of component fields. Final length should be 96 bits. - slv_entry_data := "000" & slv_pulsetime & slv_timefactor & slv_gainfactor & slv_wavestartaddr & slv_wavesteps & slv_wavetopwidth; -- This might not correct + -- slv_entry_data := "000" & slv_pulsetime & slv_timefactor & slv_gainfactor & slv_wavestartaddr & slv_wavesteps & slv_wavetopwidth; -- This might not correct - -- Write 96-bit entry in 3 writes. (Address is an integer) - cpu_write(clk, ADR_RAM_PULSE+(4*num_entry) , slv_entry_data(31 downto 0), cpu_sel, cpu_wr, cpu_addr, cpu_wdata); - cpu_write(clk, ADR_RAM_PULSE+(4*num_entry)+1 , slv_entry_data(63 downto 32), cpu_sel, cpu_wr, cpu_addr, cpu_wdata); - cpu_write(clk, ADR_RAM_PULSE+(4*num_entry)+2 , slv_entry_data(95 downto 64), cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + -- -- Write 96-bit entry in 3 writes. (Address is an integer) + -- cpu_write(clk, ADR_RAM_PULSE+(4*num_entry) , slv_entry_data(31 downto 0), cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + -- cpu_write(clk, ADR_RAM_PULSE+(4*num_entry)+1 , slv_entry_data(63 downto 32), cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + -- cpu_write(clk, ADR_RAM_PULSE+(4*num_entry)+2 , slv_entry_data(95 downto 64), cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + -- Write 32-bit entry in end; ------------------------------------------------------------- @@ -300,7 +301,7 @@ begin -- CPU interface cpu_wr => cpu_wr , -- in std_logic; cpu_sel => cpu_sel , -- in std_logic; - cpu_addr => cpu_addr( 9 downto 0) , -- in std_logic_vector(11 downto 0); + cpu_addr => cpu_addr(11 downto 0) , -- in std_logic_vector(11 downto 0); cpu_wdata => cpu_wdata , -- in std_logic_vector(31 downto 0); cpu_rdata => cpu_rdata , -- out std_logic_vector(31 downto 0); diff --git a/src/hdl/tb/tb_cpubus_dacs_pulse_channel.vhdl b/src/hdl/tb/tb_cpubus_dacs_pulse_channel.vhdl new file mode 100644 index 0000000..44fad53 --- /dev/null +++ b/src/hdl/tb/tb_cpubus_dacs_pulse_channel.vhdl @@ -0,0 +1,428 @@ +----------------------------------------------------------- +-- File : tb_cpubus_dacs_pulse_channel.vhd +----------------------------------------------------------- +-- +-- Testbench for CPU bus peripheral. +-- +-- Description : Pulse output control of Qlaser FPGA +-- Block drives AXI-stream to JESD DACs +-- +---------------------------------------------------------- +library ieee; +use ieee.numeric_std.all; +use ieee.std_logic_1164.all; +use std.textio.all; + +use work.std_iopak.all; + + +entity tb_cpubus_dacs_pulse_channel is +end tb_cpubus_dacs_pulse_channel; + +architecture behave of tb_cpubus_dacs_pulse_channel is + +signal clk : std_logic; +signal reset : std_logic; +signal enable : std_logic; +signal start : std_logic; +signal cnt_time : std_logic_vector(23 downto 0); +signal busy : std_logic; +signal cpu_wr : std_logic; +signal cpu_sel : std_logic; +signal cpu_addr : std_logic_vector(15 downto 0); +signal cpu_wdata : std_logic_vector(31 downto 0); +signal cpu_rdata : std_logic_vector(31 downto 0); +signal cpu_rdata_dv : std_logic; + +-- AXI-stream output interface +signal axis_tready : std_logic := '1'; -- Always ready +signal axis_tdata : std_logic_vector(15 downto 0); +signal axis_tvalid : std_logic; +signal axis_tlast : std_logic; + +-- Halts simulation by stopping clock when set true +signal sim_done : boolean := false; + +-- Crystal clock freq expressed in MHz +constant CLK_FREQ_MHZ : real := 100.0; +-- Clock period +constant CLK_PER : time := integer(1.0E+6/(CLK_FREQ_MHZ)) * 1 ps; + +-- Block registers +-- constant ADR_RAM_PULSE : integer := to_integer(unsigned(X"0000")); -- TODO: Modelsim cannot compile this +-- constant ADR_RAM_WAVE : integer := to_integer(unsigned(X"0200")); -- TODO: Modelsim cannot compile this +constant ADR_RAM_PULSE : integer := 0; -- TODO: Modelsim cannot compile this +constant ADR_RAM_WAVE : integer := 2048; -- TODO: Modelsim cannot compile this + + +------------------------------------------------------------- +-- CPU write procedure. Address in decimal. Data in hex +------------------------------------------------------------- +procedure cpu_write( + signal clk : in std_logic; + constant a : in integer; + constant d : in std_logic_vector(31 downto 0); + signal cpu_sel : out std_logic; + signal cpu_wr : out std_logic; + signal cpu_addr : out std_logic_vector(15 downto 0); + signal cpu_wdata : out std_logic_vector(31 downto 0) +) is +begin + wait until clk'event and clk='0'; + cpu_sel <= '1'; + cpu_wr <= '1'; + cpu_addr <= std_logic_vector(to_unsigned(a, 16)); + cpu_wdata <= std_logic_vector(d); + wait until clk'event and clk='0'; + cpu_sel <= '0'; + cpu_wr <= '0'; + cpu_addr <= (others=>'0'); + cpu_wdata <= (others=>'0'); + wait until clk'event and clk='0'; +end; + + +------------------------------------------------------------- +-- CPU write procedure. Address and Data in decimal +------------------------------------------------------------- +procedure cpu_write( + signal clk : in std_logic; + constant a : in integer; + constant d : in integer; + signal cpu_sel : out std_logic; + signal cpu_wr : out std_logic; + signal cpu_addr : out std_logic_vector(15 downto 0); + signal cpu_wdata : out std_logic_vector(31 downto 0) +) is +begin + cpu_write(clk, a , std_logic_vector(to_unsigned(d,32)), cpu_sel, cpu_wr, cpu_addr, cpu_wdata); +end; + +------------------------------------------------------------- +-- CPU write pulse definition RAM +-- Use 96 bit data to make three 32-bit writes +------------------------------------------------------------- +procedure cpu_write_pulsedef( + signal clk : in std_logic; + + constant num_entry : in integer; + + -- TODO: Partial ? list of parameters + constant pulsetime : in integer; -- Pulse time in clock cycles + constant timefactor : in real; -- Fixed point time scale factor + constant gainfactor : in real; -- Fixed point gain value. Max value 1.0 is hex X"8000". Gain 0.5 is therefore X"4000" + constant wavestartaddr : in integer; -- Start address in waveform RAM + constant wavesteps : in integer; -- Number of steps in waveform rise and fall + constant wavetopwidth : in integer; -- Number of clock cycles in waveform top between end of rise and start of fall + + + signal cpu_sel : out std_logic; + signal cpu_wr : out std_logic; + signal cpu_addr : out std_logic_vector(15 downto 0); + signal cpu_wdata : out std_logic_vector(31 downto 0) +) is +-- Vectors for converted values +variable slv_pulsetime : std_logic_vector(23 downto 0); -- For 24-bit pulse time +variable slv_timefactor : std_logic_vector(15 downto 0); -- For 16-bit fixed point timestep +variable slv_gainfactor : std_logic_vector(15 downto 0); -- For 16-bit fixed point gain +variable slv_wavestartaddr : std_logic_vector(11 downto 0); -- For 12-bit address i.e. 1024 point waveform RAM +variable slv_wavesteps : std_logic_vector( 9 downto 0); -- For 10-bit number of steps i.e. 0 = 1 step, X"3FF" = 1024 points +variable slv_wavetopwidth : std_logic_vector(16 downto 0); -- For 17-bit number of clock cycles in top of waveform + +-- constant ADR_PULSE_DEF : integer := to_integer(unsigned(X"?????")); -- Use address of pulse definition RAM from qlaser_pkg +-- Define the number of fractional bits +constant BIT_FRAC : integer := 4; -- TODO: this should be defined in qlaser_pkg +begin + + -- Convert each field into its std_logic_vector equivalent + slv_pulsetime := std_logic_vector(to_unsigned(pulsetime, 24)); + slv_timefactor := std_logic_vector(to_unsigned(integer(timefactor * real(2**BIT_FRAC)), 16)); -- Convert real to std_logic_vector keeping the fractional part + slv_gainfactor := std_logic_vector(to_unsigned(integer(gainfactor * real(2**BIT_FRAC)), 16)); -- Convert real to std_logic_vector keeping the fractional part + slv_wavestartaddr := std_logic_vector(to_unsigned(wavestartaddr, 12)); + slv_wavesteps := std_logic_vector(to_unsigned(wavesteps, 10)); + slv_wavetopwidth := std_logic_vector(to_unsigned(wavetopwidth, 17)); + + + --etc, etc. + -- 4 writes. (Address is an integer) + cpu_write(clk, ADR_RAM_PULSE+num_entry , x"00" & slv_pulsetime, cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + cpu_write(clk, ADR_RAM_PULSE+(num_entry+1) , "00" & x"00" & slv_wavesteps & slv_wavestartaddr, cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + cpu_write(clk, ADR_RAM_PULSE+(num_entry+2) , slv_timefactor & slv_gainfactor, cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + cpu_write(clk, ADR_RAM_PULSE+(num_entry+3) , "0000000" & x"00" & slv_wavetopwidth, cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + +end; + +------------------------------------------------------------- +-- CPU read procedure +------------------------------------------------------------- +procedure cpu_read( + signal clk : in std_logic; + constant a : in integer; + constant exp_d : in std_logic_vector(31 downto 0); + signal cpu_sel : out std_logic; + signal cpu_wr : out std_logic; + signal cpu_addr : out std_logic_vector(15 downto 0); + signal cpu_wdata : out std_logic_vector(31 downto 0); + signal cpu_rdata : in std_logic_vector(31 downto 0); + signal cpu_rdata_dv : in std_logic +) is +variable v_bdone : boolean := false; +variable str_out : string(1 to 256); +begin + wait until clk'event and clk='0'; + cpu_sel <= '1'; + cpu_wr <= '0'; + cpu_addr <= std_logic_vector(to_unsigned(a, 16)); + cpu_wdata <= (others=>'0'); + while (v_bdone = false) loop + wait until clk'event and clk='0'; + cpu_sel <= '1'; + if (cpu_rdata_dv = '1') then + if (cpu_rdata /= exp_d) then + fprint(str_out, "Read exp: 0x%s actual: 0x%s\n", to_string(to_bitvector(exp_d),"%08X"), to_string(to_bitvector(cpu_rdata),"%08X")); + report str_out severity error; + end if; + v_bdone := true; + cpu_sel <= '0'; + cpu_addr <= (others=>'0'); + end if; + end loop; + wait until clk'event and clk='0'; + wait until clk'event and clk='0'; +end; + +------------------------------------------------------------- +-- CPU read pulse definition RAM +-- Use 96 bit data to make three 32-bit writes +------------------------------------------------------------- +procedure cpu_read_pulsedef( + signal clk : in std_logic; + + constant num_entry : in integer; + + -- TODO: Partial ? list of parameters + constant pulsetime : in integer; -- Pulse time in clock cycles + constant timefactor : in real; -- Fixed point time scale factor + constant gainfactor : in real; -- Fixed point gain value. Max value 1.0 is hex X"8000". Gain 0.5 is therefore X"4000" + constant wavestartaddr : in integer; -- Start address in waveform RAM + constant wavesteps : in integer; -- Number of steps in waveform rise and fall + constant wavetopwidth : in integer; -- Number of clock cycles in waveform top between end of rise and start of fall + + + signal cpu_sel : out std_logic; + signal cpu_wr : out std_logic; + signal cpu_addr : out std_logic_vector(15 downto 0); + signal cpu_wdata : out std_logic_vector(31 downto 0) +) is +-- Vectors for converted values +variable slv_pulsetime : std_logic_vector(23 downto 0); -- For 24-bit pulse time +variable slv_timefactor : std_logic_vector(15 downto 0); -- For 16-bit fixed point timestep +variable slv_gainfactor : std_logic_vector(15 downto 0); -- For 16-bit fixed point gain +variable slv_wavestartaddr : std_logic_vector(11 downto 0); -- For 12-bit address i.e. 1024 point waveform RAM +variable slv_wavesteps : std_logic_vector( 9 downto 0); -- For 10-bit number of steps i.e. 0 = 1 step, X"3FF" = 1024 points +variable slv_wavetopwidth : std_logic_vector(16 downto 0); -- For 17-bit number of clock cycles in top of waveform + +-- constant ADR_PULSE_DEF : integer := to_integer(unsigned(X"?????")); -- Use address of pulse definition RAM from qlaser_pkg +-- Define the number of fractional bits +constant BIT_FRAC : integer := 4; -- TODO: this should be defined in qlaser_pkg +begin + + -- Convert each field into its std_logic_vector equivalent + slv_pulsetime := std_logic_vector(to_unsigned(pulsetime, 24)); + slv_timefactor := std_logic_vector(to_unsigned(integer(timefactor * real(2**BIT_FRAC)), 16)); -- Convert real to std_logic_vector keeping the fractional part + slv_gainfactor := std_logic_vector(to_unsigned(integer(gainfactor * real(2**BIT_FRAC)), 16)); -- Convert real to std_logic_vector keeping the fractional part + slv_wavestartaddr := std_logic_vector(to_unsigned(wavestartaddr, 12)); + slv_wavesteps := std_logic_vector(to_unsigned(wavesteps, 10)); + slv_wavetopwidth := std_logic_vector(to_unsigned(wavetopwidth, 17)); + + + --etc, etc. + -- 4 writes. (Address is an integer) + cpu_write(clk, ADR_RAM_PULSE+num_entry , x"00" & slv_pulsetime, cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + cpu_write(clk, ADR_RAM_PULSE+(num_entry+1) , "00" & x"00" & slv_wavesteps & slv_wavestartaddr, cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + cpu_write(clk, ADR_RAM_PULSE+(num_entry+2) , slv_timefactor & slv_gainfactor, cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + cpu_write(clk, ADR_RAM_PULSE+(num_entry+3) , "0000000" & x"00" & slv_wavetopwidth, cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + +end; + + +------------------------------------------------------------- +-- Delay +------------------------------------------------------------- +procedure clk_delay( + constant nclks : in integer +) is +begin + for I in 0 to nclks loop + wait until clk'event and clk ='0'; + end loop; +end; + + +---------------------------------------------------------------- +-- Print a string with no time or instance path. +---------------------------------------------------------------- +procedure cpu_print_msg( + constant msg : in string +) is +variable line_out : line; +begin + write(line_out, msg); + writeline(output, line_out); +end procedure cpu_print_msg; + + +begin + + ------------------------------------------------------------- + -- Unit Under Test + ------------------------------------------------------------- + u_dac_pulse : entity work.qlaser_dacs_pulse_channel + port map ( + clk => clk , -- in std_logic; + reset => reset , -- in std_logic; + + enable => enable , -- out std_logic; + start => start , -- out std_logic; + cnt_time => cnt_time , -- out std_logic_vector(23 downto 0); -- Set to '1' while SPI interface is busy + + busy => busy , -- out std_logic; -- Set to '1' while SPI interface is busy + + -- CPU interface + cpu_wr => cpu_wr , -- in std_logic; + cpu_sel => cpu_sel , -- in std_logic; + cpu_addr => cpu_addr(11 downto 0) , -- in std_logic_vector(11 downto 0); + cpu_wdata => cpu_wdata , -- in std_logic_vector(31 downto 0); + + cpu_rdata => cpu_rdata , -- out std_logic_vector(31 downto 0); + cpu_rdata_dv => cpu_rdata_dv , -- out std_logic; + + + -- AXI-Stream interface + axis_tready => axis_tready , -- in std_logic; -- Clock (50 MHz max) + axis_tdata => axis_tdata , -- out std_logic_vector(15 downto 0); + axis_tvalid => axis_tvalid , -- out std_logic; -- Master out, Slave in. (Data to DAC) + axis_tlast => axis_tlast -- out std_logic; -- Active low chip select (sync_n) + ); + + + ------------------------------------------------------------- + -- Generate system clock. Halt when sim_done is true. + ------------------------------------------------------------- + pr_clk : process + begin + clk <= '0'; + wait for (CLK_PER/2); + clk <= '1'; + wait for (CLK_PER-CLK_PER/2); + if (sim_done=true) then + wait; + end if; + end process; + + + ------------------------------------------------------------- + -- Reset and drive CPU bus + ------------------------------------------------------------- + pr_main : process + variable v_ndata32 : integer := 0; + variable v_ndata16 : integer := 0; + begin + -- Reset + reset <= '1'; + enable <= '0'; + start <= '0'; + cnt_time <= (others=>'0'); + + cpu_sel <= '0'; + cpu_wr <= '0'; + cpu_wdata <= (others=>'0'); + cpu_addr <= (others=>'0'); + + cpu_print_msg("Simulation start"); + clk_delay(5); + reset <= '0'; + + clk_delay(5); + enable <= '1'; + + + clk_delay(20); + + + ---------------------------------------------------------------- + -- Load pulse RAM with a series of pulse start times + ---------------------------------------------------------------- + v_ndata32 := 128; -- Time for first pulse + cpu_print_msg("Load pulse RAM"); + for NADDR in 0 to 255 loop + cpu_write_pulsedef(clk, NADDR*4, v_ndata32 + (NADDR*(1024+32)), 1.0, 1.0, 0, NADDR*32, 512, cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + end loop; + cpu_print_msg("Pulse RAM loaded"); + clk_delay(20); + + -- ---------------------------------------------------------------- + -- -- Load waveform RAM with a simple ramp + -- -- Write two 16-bit values with each write + -- ---------------------------------------------------------------- + -- cpu_print_msg("Load waveform RAM"); + -- v_ndata16 := 1; -- first waveform value + -- for NADDR in 0 to 511 loop + -- v_ndata32 := (((v_ndata16+1) * 65536) + v_ndata16); + -- cpu_write(clk, (ADR_RAM_WAVE + NADDR) , v_ndata32, cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + -- v_ndata16 := v_ndata16 + 2; + -- end loop; + -- cpu_print_msg("Waveform RAM loaded"); + -- clk_delay(20); + + + ---------------------------------------------------------------- + -- Read back Pulse RAM. + ---------------------------------------------------------------- + v_ndata32 := 128; -- Time for first pulse + for NADDR in 0 to 255 loop + cpu_read_pulsedef(clk, NADDR*4, v_ndata32 + (NADDR*(1024+32)), 1.0, 1.0, 0, NADDR*32, 512, cpu_sel, cpu_wr, cpu_addr, cpu_wdata); + end loop; + clk_delay(20); + + -- ---------------------------------------------------------------- + -- -- Read back Waveform RAM + -- ---------------------------------------------------------------- + -- v_ndata16 := 1; -- first waveform value + -- for NADDR in 0 to 511 loop + -- v_ndata32 := (((v_ndata16+1) * 65536) + v_ndata16); + -- cpu_read (clk, ADR_RAM_WAVE + NADDR , std_logic_vector(to_unsigned(v_ndata32, 32)) , cpu_sel, cpu_wr, cpu_addr, cpu_wdata, cpu_rdata, cpu_rdata_dv); + -- v_ndata16 := v_ndata16 + 2; + -- end loop; + + -- Done reg write/read check + cpu_print_msg("RAM readback completed"); + clk_delay(20); + + + ---------------------------------------------------------------- + -- Start the pulse outputs + ---------------------------------------------------------------- + clk_delay(5); + start <= '1'; + clk_delay(5); + start <= '0'; + + -- Wait for cnt_time to reach last pulse start time + waveform size + for NCNT in 1 to (128 + 16*(1024+32)+ 1024) loop + cnt_time <= std_logic_vector(unsigned(cnt_time) + 1); + clk_delay(0); + end loop; + + wait for 10 us; + + cpu_print_msg("Simulation done"); + clk_delay(5); + + sim_done <= true; + wait; + + end process; + +end behave; + diff --git a/tools/build_src/build.tcl b/tools/build_src/build.tcl index 37cff22..2874749 100644 --- a/tools/build_src/build.tcl +++ b/tools/build_src/build.tcl @@ -22,4 +22,20 @@ launch_runs synth_1 # Wait for the synthesis to complete wait_on_run synth_1 +# Generate the simulation models +proc recursive_glob {dir} { + set files [glob -nocomplain -type f -directory $dir *_sim_netlist.vhdl] + foreach subdir [glob -nocomplain -type d -directory $dir *] { + lappend files {*}[recursive_glob $subdir] + } + return $files +} + +set src_dir ../../prj/zcu_pulse_channel.gen/sources_1/ip/ +set files [recursive_glob $src_dir] + +foreach file $files { + file copy -force $file ../../src/hdl/ip_gen +} + exit diff --git a/tools/sim/compile.do b/tools/sim/compile.do index bcfd469..90e2eb3 100644 --- a/tools/sim/compile.do +++ b/tools/sim/compile.do @@ -1,20 +1,5 @@ vlib work -# proc recursive_glob {dir} { -# set files [glob -nocomplain -type f -directory $dir *_sim_netlist.vhdl] -# foreach subdir [glob -nocomplain -type d -directory $dir *] { -# lappend files {*}[recursive_glob $subdir] -# } -# return $files -# } - -# set src_dir ../../prj/zcu_pulse_channel.gen -# set files [recursive_glob $src_dir] - -# foreach file $files { -# file copy -force $file ../../src/hdl/ip_gen -# } - vcom ../../src/hdl/ip_gen/*.vhd* vcom ../../src/hdl/pkg/*pkg.vhd vcom ../../src/hdl/pkg/iopakp.vhd diff --git a/tools/xilinx-zcu/bram_pulse_definition/bram_pulse_definition.xci b/tools/xilinx-zcu/bram_pulse_definition/bram_pulse_definition.xci index eff3023..3ce1f9d 100644 --- a/tools/xilinx-zcu/bram_pulse_definition/bram_pulse_definition.xci +++ b/tools/xilinx-zcu/bram_pulse_definition/bram_pulse_definition.xci @@ -254,10 +254,10 @@ IP_Flow 5 TRUE - ../../../../zcu_pulse_channel.gen/sources_1/ip/bram_pulse_definition + ../../../prj/zcu_pulse_channel.gen/sources_1/ip/bram_pulse_definition . - 2022.1.2 + 2022.1 OUT_OF_CONTEXT diff --git a/tools/xilinx-zcu/bram_pulseposition/bram_pulseposition.xci b/tools/xilinx-zcu/bram_pulseposition/bram_pulseposition.xci index e0fd654..0a7f774 100644 --- a/tools/xilinx-zcu/bram_pulseposition/bram_pulseposition.xci +++ b/tools/xilinx-zcu/bram_pulseposition/bram_pulseposition.xci @@ -86,7 +86,7 @@ ../../../prj/zcu_pulse_channel.gen/sources_1/ip/bram_pulseposition . - 2022.1.2 + 2022.1 OUT_OF_CONTEXT diff --git a/tools/xilinx-zcu/bram_waveform/bram_waveform.xci b/tools/xilinx-zcu/bram_waveform/bram_waveform.xci index b81605f..b2a30d3 100644 --- a/tools/xilinx-zcu/bram_waveform/bram_waveform.xci +++ b/tools/xilinx-zcu/bram_waveform/bram_waveform.xci @@ -257,7 +257,7 @@ ../../../prj/zcu_pulse_channel.gen/sources_1/ip/bram_waveform . - 2022.1.2 + 2022.1 OUT_OF_CONTEXT diff --git a/tools/xilinx-zcu/fifo_data_to_stream/fifo_data_to_stream.xci b/tools/xilinx-zcu/fifo_data_to_stream/fifo_data_to_stream.xci index 19bf8f7..28c743e 100644 --- a/tools/xilinx-zcu/fifo_data_to_stream/fifo_data_to_stream.xci +++ b/tools/xilinx-zcu/fifo_data_to_stream/fifo_data_to_stream.xci @@ -524,7 +524,7 @@ ../../../prj/zcu_pulse_channel.gen/sources_1/ip/fifo_data_to_stream . - 2022.1.2 + 2022.1 OUT_OF_CONTEXT